Оксидные мемристоры для ReRAM: подходы, характеристики, структуры

Обложка

Цитировать

Полный текст

Открытый доступ Открытый доступ
Доступ закрыт Доступ предоставлен
Доступ закрыт Только для подписчиков

Аннотация

Эта обзорная статья посвящена оксидным мемристорам для резистивной памяти с произвольным доступом (ReRAM). Рассмотрены возможные практические реализации ReRAM и проблема утечек через соседние элементы в ReRAM. Кратко описаны основные типы резистивного переключения в мемристорах, а также разобраны основные механизмы резистивного переключения. Также описаны основные характеристики мемристоров, необходимые для ReRAM. Разобраны некоторые мемристорные структуры на основе оксидов титана, кремния, тантала, гафния, а также многослойные оксидные структуры. Выделены текущие проблемы при создании ReRAM.

Об авторах

А. Г. Исаев

Физико-технологический институт имени К.А. Валиева Российской академии наук; Московский физико-технический институт (государственный университет)

Email: isaev.ag@phystech.edu
Россия, 117218, Москва, Нахимовский проспект, 34, корп. 1; Россия, 141701, Долгопрудный, Институтский переулок, 9

О. О. Пермякова

Физико-технологический институт имени К.А. Валиева Российской академии наук; Московский физико-технический институт (государственный университет)

Email: isaev.ag@phystech.edu
Россия, 117218, Москва, Нахимовский проспект, 34, корп. 1; Россия, 141701, Долгопрудный, Институтский переулок, 9

А. Е. Рогожин

Физико-технологический институт имени К.А. Валиева Российской академии наук

Автор, ответственный за переписку.
Email: isaev.ag@phystech.edu
Россия, 117218, Москва, Нахимовский проспект, 34, корп. 1

Список литературы

  1. Pan F., Gao S., Chen C. Recent progress in resistive random access memories: Materials, switching mechanisms, and performance // Mater. Sci. Eng. R Rep. 2014. V. 83. P. 1–59.
  2. Chua L. Memristor – the missing circuit element // IEEE Trans. Circuit Theory. 1971. V. 18. № 5. P. 507–519.
  3. Resistive Switching: From Fundamentals of Nanoionic Redox Processes to Memristive Device Applications / ed. Ielmini D., Waser R. Weinheim, Germany: Wiley-VCH Verlag GmbH & Co. KGaA, 2016.
  4. Govoreanu B., Kar G.S., Chen Y.-Y. 10 × 10 nm2 Hf/HfOx crossbar resistive RAM with excellent performance, reliability and low-energy operation // 2011 International Electron Devices Meeting. Washington, DC, USA: IEEE, 2011. P. 31.6.1–31.6.4.
  5. Kwon D.-H., Kim K.M., Jang J.H. Atomic structure of conducting nanofilaments in TiO2 resistive switching memory // Nat. Nanotechnol. 2010. V. 5. № 2. P. 148–153.
  6. Illarionov G.A., Morozova S.M., Chrishtop V.V. Memristive TiO2: Synthesis, Technologies, and Applications // Front. Chem. 2020. V. 8. P. 724.
  7. Sawa A., Fujii T., Kawasaki M. Colossal Electro-Resistance Memory Effect at Metal/La2CuO4 Interfaces // Jpn. J. Appl. Phys. 2005. V. 44. № 40. P. L1241–L1243.
  8. Yoon J.H., Zhang J., Lin P. A Low-Current and Analog Memristor with Ru as Mobile Species // Adv. Mater. 2020. V. 32. № 9. P. 1904599.
  9. Park M.R., Abbas Y., Abbas H. Resistive switching characteristics in hafnium oxide, tantalum oxide and bilayer devices // Microelectron. Eng. 2016. V. 159. P. 190–197.
  10. Kurnia F., Liu C., Jung C.U. The evolution of conducting filaments in forming-free resistive switching Pt/TaOx/Pt structures // Appl. Phys. Lett. 2013. V. 102. № 15. P. 152902.
  11. Jiang H., Han L., Lin P. Sub-10 nm Ta Channel Responsible for Superior Performance of a HfO2 Memristor // Sci. Rep. 2016. V. 6. № 1. P. 28525.
  12. Liu T., Yan T.H., Scheuerlein R. A 130.7-mm2 2-Layer 32-Gb ReRAM Memory Device in 24-nm Technology // IEEE J. Solid-State Circuits. 2014. V. 49. № 1. P. 140–153.
  13. Fackenthal R., Kitagawa M., Otsuka W. A 16Gb ReRAM with 200 MB/s write and 1 GB/s read in 27 nm technology // 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). San Francisco, CA, USA: IEEE, 2014. P. 338–339.
  14. Li H., Wang S., Zhang X., Wang W., Yang R., Sun Z. Memristive Crossbar Arrays for Storage and Computing Applications // Adv. Intell. Syst. 2021. V. 3. № 9. P. 2100017.
  15. Pi S., Li C., Jiang H. Memristor crossbar arrays with 6-nm half-pitch and 2-nm critical dimension // Nat. Nanotechnol. 2019. V. 14. № 1. P. 35–39.
  16. Torrezan A.C., Strachan J.P., Medeiros-Ribeiro G. Sub-nanosecond switching of a tantalum oxide memristor // Nanotechnology. 2011. V. 22. № 48. P. 485203.
  17. Lee H.Y., Chen Y.S., Chen P.S. Evidence and solution of over-RESET problem for HfOX based resistive memory with sub-ns switching speed and high endurance // 2010 International Electron Devices Meeting. San Francisco, CA, USA: IEEE, 2010. P. 19.7.1–19.7.4.
  18. Zahoor F., Azni Zulkifli T.Z., Khanday F.A. Resistive Random Access Memory (RRAM): an Overview of Materials, Switching Mechanism, Performance, Multilevel Cell (mlc) Storage, Modeling, and Applications // Nanoscale Res. Lett. 2020. V. 15. № 1. P. 90.
  19. Baek I.G., Park C.J., Ju H. Realization of vertical resistive memory (VRRAM) using cost effective 3D process // 2011 International Electron Devices Meeting. Washington, DC, USA: IEEE, 2011. P. 31.8.1–31.8.4.
  20. Meyer R., Schloss L., Brewer J. Oxide dual-layer memory element for scalable non-volatile cross-point memory technology // 2008 9th Annual Non-Volatile Memory Technology Symposium (NVMTS). Pacific Grove, CA, USA: IEEE, 2008. P. 1–5.
  21. Zidan M.A., Fahmy H.A.H., Hussain M.M. Memristor-based memory: The sneak paths problem and solutions // Microelectron. J. 2013. V. 44. № 2. P. 176–183.
  22. Maevsky O.V., Pisarev A.D., Busygin A.N., Udovichenko S.Yu. Complementary memristive diode cells for the memory matrix of a neuromorphic processor // Int. J. Nanotechnol. 2018. V. 15. № 4/5. P. 388.
  23. Son M., Lee J., Park J. Excellent Selector Characteristics of Nanoscale VO2 for High-Density Bipolar ReRAM Applications // IEEE Electron Device Lett. 2011. V. 32. № 11. P. 1579–1581.
  24. Chen A. Analysis of Partial Bias Schemes for the Writing of Crossbar Memory Arrays // IEEE Trans. Electron Devices. 2015. V. 62. № 9. P. 2845–2849.
  25. Li C., Han L., Jiang H. Three-dimensional crossbar arrays of self-rectifying Si/SiO2/Si memristors // Nat. Commun. 2017. V. 8. № 1. P. 15666.
  26. Lee M.-J., Lee C.B., Lee D. A fast, high-endurance and scalable non-volatile memory device made from asymmetric Ta2O5−x/TaO2−x bilayer structures // Nat. Mater. 2011. V. 10. № 8. P. 625–630.
  27. Sharath S.U., Vogel S., Molina-Luna L. Control of Switching Modes and Conductance Quantization in Oxygen Engineered HfOx based Memristive Devices // Adv. Funct. Mater. 2017. V. 27. № 32. P. 1700432.
  28. Nardi F., Balatti S., Larentis S. Complementary switching in metal oxides: Toward diode-less crossbar RRAMs // 2011 International Electron Devices Meeting. Washington, DC, USA: IEEE, 2011. P. 31.1.1–31.1.4.
  29. Lanza M., Wong H.-S.P., Pop E. Recommended Methods to Study Resistive Switching Devices // Adv. Electron. Mater. 2019. V. 5. № 1. P. 1800143.
  30. Shi Y., Ji Y., Sun H., Hui F., Hu J., Wu Y., Fang J. Nanoscale characterization of PM2.5 airborne pollutants reveals high adhesiveness and aggregation capability of soot particles // Sci. Rep. 2015. V. 5. № 1. P. 11232.
  31. Hsu A., Wang H., Kim K.K., Kong J., Palacios T. Impact of Graphene Interface Quality on Contact Resistance and RF Device Performance // IEEE Electron Device Lett. 2011. V. 32. № 8. P. 1008–1010.
  32. Sirotkin V.V. Computer Investigation of the Influence of Metal Contact Inhomogenees on Resistive Switching in a Heterostructure Based on Bismuth Selenide // Russ. Microelectron. 2021. V. 50. № 5. P. 326–332.
  33. Lanza M., Bersuker G., Porti M., Miranda E., Nafría M., Aymerich X. Resistive switching in hafnium dioxide layers: Local phenomenon at grain boundaries // Appl. Phys. Lett. 2012. V. 101. № 19. P. 193502.
  34. Bobylev A.N., Udovichenko S.Yu. Electrical properties of a TiN/Ti x Al1 – xOy /TiN memristor device manufactured by magnetron sputtering // Russ. Microelectron. 2016. V. 45. № 6. P. 396–401.
  35. Lei B., Kwan W.L., Shao Y., Yang Y. Statistical characterization of the memory effect in polyfluorene based non-volatile resistive memory devices // Org. Electron. 2009. V. 10. № 6. P. 1048–1053.
  36. He C.L., Zhuge F., Zhou X.F., Li M., Zhou G.C., Liu Y.W., Wang J.Z. Nonvolatile resistive switching in graphene oxide thin films // Appl. Phys. Lett. 2009. V. 95. № 23. P. 232101.
  37. Liu X., Biju K.P., Bourim E.M., Park S., Lee W., Shin J., Hwang H. Low programming voltage resistive switching in reactive metal/polycrystalline Pr0.7Ca0.3MnO3 devices // Solid State Commun. 2010. V. 150. № 45–46. P. 2231–2235.
  38. Yang Y.C., Chen C., Zeng F. Multilevel resistance switching in Cu/TaOx/Pt structures induced by a coupled mechanism // J. Appl. Phys. 2010. V. 107. № 9. P. 093701.
  39. Wang T.-Y., Meng J.-L., Li Q.-X., Chen L., Zhu H., Sun Q.-Q., Ding S.-J., Zhang D.W. Forming-free flexible memristor with multilevel storage for neuromorphic computing by full PVD technique // J. Mater. Sci. Technol. 2021. V. 60. P. 21–26.
  40. Srivastava S., Thomas J.P., Leung K.T. Programmable, electroforming-free TiOx/TaOx heterojunction-based non-volatile memory devices // Nanoscale. 2019. V. 11. № 39. P. 18159–18168.
  41. Sawa A. Resistive switching in transition metal oxides // Mater. Today. 2008. V. 11. № 6. P. 28–36.
  42. Fu D., Xie D., Feng T. Unipolar Resistive Switching Properties of Diamondlike Carbon-Based RRAM Devices // IEEE Electron Device Lett. 2011. V. 32. № 6. P. 803–805.
  43. Permyakova O.O., Rogozhin A.E. Simulation of Resistive Switching in Memristor Structures Based on Transition Metal Oxides // Russ. Microelectron. 2020. V. 49. № 5. P. 303–313.
  44. Nandakumar S.R., Minvielle M., Nagar S. A 250 mV Cu/SiO2/W Memristor with Half-Integer Quantum Conductance States // Nano Lett. 2016. V. 16. № 3. P. 1602–1608.
  45. Hirose Y., Hirose H. Polarity-dependent memory switching and behavior of Ag dendrite in Ag-photodoped amorphous As2S 3films // J. Appl. Phys. 1976. V. 47. № 6. P. 2767–2772.
  46. Yang Y., Zhang X., Gao M., Zeng F., Zhou W., Xie S., Pan F. Nonvolatile resistive switching in single crystalline ZnO nanowires // Nanoscale. 2011. V. 3. № 4. P. 1917.
  47. Valov I., Waser R., Jameson J.R. Electrochemical metallization memories—fundamentals, applications, prospects // Nanotechnology. 2011. V. 22. № 25. P. 254003.
  48. Peng S., Zhuge F., Chen X., Zhu X., Hu B., Pan L., Chen B. Mechanism for resistive switching in an oxide-based electrochemical metallization memory // Appl. Phys. Lett. 2012. V. 100. № 7. P. 072101.
  49. Sakamoto T., Lister K., Banno N., Hasegawa T., Terabe K., Aono M. Electronic transport in Ta2O5 resistive switch // Appl. Phys. Lett. 2007. V. 91. № 9. P. 092110.
  50. Jeong D.S., Thomas R., Katiyar R.S., Scott J.F., Kohlstedt H., Petraru A., Hwang C.S. Emerging memories: resistive switching mechanisms and current status // Rep. Prog. Phys. 2012. V. 75. № 7. P. 076502.
  51. Wang S.-Y., Lee D.-Y., Huang T.-Y., Wu J.-W., Tseng T.-Y. Controllable oxygen vacancies to enhance resistive switching performance in a ZrO2 -based RRAM with embedded Mo layer // Nanotechnology. 2010. V. 21. № 49. P. 495201.
  52. Kim K.M., Jeong D.S., Hwang C.S. Nanofilamentary resistive switching in binary oxide system; a review on the present status and outlook // Nanotechnology. 2011. V. 22. № 25. P. 254002.
  53. Park G.-S., Li X.-S., Kim D.-C., Jung R.-J., Lee M.-J., Seo S. Observation of electric-field induced Ni filament channels in polycrystalline NiOx film // Appl. Phys. Lett. 2007. V. 91. № 22. P. 222103.
  54. Dittmann R., Muenstermann R., Krug I., Park D., Menke T. Scaling Potential of Local Redox Processes in Memristive SrTiO3 Thin-Film Devices // Proc. IEEE. 2012. V. 100. № 6. P. 1979–1990.
  55. Yan Z.B., Liu J.-M. Coexistence of high performance resistance and capacitance memory based on multilayered metal-oxide structures // Sci. Rep. 2013. V. 3. № 1. P. 2482.
  56. Orlov O.M., Gismatulin A.A., Gritsenko V.A., Mizginov D.S. Charge Transport Mechanism in a Formless Memristor Based on Silicon Nitride // Russ. Microelectron. 2020. V. 49. № 5. P. 372–377.
  57. Iskhakzay R.M.Kh., Kruchinin V.N., Aliev V.Sh., Gritsenko V.A., Dementieva E.V., Zamoryanskaya M.V. Charge Transport in Nonstoichiometric SiOx Obtained by Treatment of Thermal SiO2 in Hydrogen Plasma of Electronic-Cyclotron Resonance // Russ. Microelectron. 2022. V. 51. № 1. P. 24–35.
  58. Wang L.-G., Qian X., Cao Y.-Q. Excellent resistive switching properties of atomic layer-deposited Al2O3/HfO2/Al2O3 trilayer structures for non-volatile memory applications // Nanoscale Res. Lett. 2015. V. 10. № 1. P. 135.
  59. Avila A., Bhushan B. Electrical Measurement Techniques in Atomic Force Microscopy // Crit. Rev. Solid State Mater. Sci. 2010. V. 35. № 1. P. 38–51.
  60. Hui F., Lanza M. Scanning probe microscopy for advanced nanoelectronics // Nat. Electron. 2019. V. 2. № 6. P. 221–229.
  61. Hui F., Wen C., Chen S. Emerging Scanning Probe–Based Setups for Advanced Nanoelectronic Research // Adv. Funct. Mater. 2020. V. 30. № 18. P. 1902776.
  62. Electrical Atomic Force Microscopy for Nanoelectronics / ed. Celano U. Cham: Springer International Publishing, 2019.
  63. Hui F., Grustan-Gutierrez E., Long S. Graphene and Related Materials for Resistive Random Access Memories // Adv. Electron. Mater. 2017. V. 3. № 8. P. 1600195.
  64. Zuo Y. et al. Effect of the Pressure Exerted by Probe Station Tips in the Electrical Characteristics of Memristors // Adv. Electron. Mater. 2020. V. 6. № 3. P. 1901226.
  65. Jiang H., Belkin D., Savel’ev S.E. A novel true random number generator based on a stochastic diffusive memristor // Nat. Commun. 2017. V. 8. № 1. P. 882.
  66. Kim H., Mahmoodi M.R., Nili H. 4K-memristor analog-grade passive crossbar circuit // Nat. Commun. 2021. V. 12. № 1. P. 5198.
  67. Xia Q., Yang J.J., Wu W., Williams R.S. Self-Aligned Memristor Cross-Point Arrays Fabricated with One Nanoimprint Lithography Step // Nano Lett. 2010. V. 10. № 8. P. 2909–2914.
  68. Pi S., Lin P., Xia Q. Cross point arrays of 8 nm × 8 nm memristive devices fabricated with nanoimprint lithography // J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2013. V. 31. № 6. P. 06FA02.
  69. Niu J., Zhang M., Li Y. Highly scalable resistive switching memory in metal nanowire crossbar arrays fabricated by electron beam lithography // J. Vac. Sci. Technol. B Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 2016. V. 34. № 2. P. 02G105.
  70. Meng J., Zhao B., Xu Q., Goodwill J.M., Bain J.A., Skowronski M. Temperature overshoot as the cause of physical changes in resistive switching devices during electro-formation // J. Appl. Phys. 2020. V. 127. № 23. P. 235107.
  71. Li Y., Ang K.-W. Hardware Implementation of Neuromorphic Computing Using Large-Scale Memristor Crossbar Arrays // Adv. Intell. Syst. 2021. V. 3. № 1. P. 2000137.
  72. Wei Z., Kanzawa Y., Arita K. Highly reliable TaOx ReRAM and direct evidence of redox reaction mechanism // 2008 IEEE International Electron Devices Meeting. San Francisco, CA, USA: IEEE, 2008. P. 1–4.
  73. Choi B.J., Choi S., Kim K.M. Study on the resistive switching time of TiO2 thin films // Appl. Phys. Lett. 2006. V. 89. № 1. P. 012906.
  74. Kim S., Choi S., Lu W. Comprehensive Physical Model of Dynamic Resistive Switching in an Oxide Memristor // ACS Nano. 2014. V. 8. № 3. P. 2369–2376.
  75. Baeumer C., Valenta R., Schmitz C., Locatelli A., Rogers S.P., Sala A., Raab N. Subfilamentary Networks Cause Cycle-to-Cycle Variability in Memristive Devices // ACS Nano. 2017. V. 11. № 7. P. 6921–6929.
  76. Zhu Y.-L., Xue K.-H., Cheng X.-M., Qiao C., Yuan J.-H., Li L.-H., Miao X.-S. Uniform and robust TiN/HfO2/Pt memristor through interfacial Al-doping engineering // Appl. Surf. Sci. 2021. V. 550. P. 149274.
  77. Yoshida C., Tsunoda K., Noshiro H. High speed resistive switching in Pt/TiO2/TiN film for nonvolatile memory application // Appl. Phys. Lett. 2007. V. 91. № 22. P. 223510.
  78. Choi B.J., Torrezan A.C., Norris K.J. Electrical Performance and Scalability of Pt Dispersed SiO2 Nanometallic Resistance Switch // Nano Lett. 2013. V. 13. № 7. P. 3213–3217.
  79. Fadeev A.V., Rudenko K.V. To the Issue of the Memristor’s HRS and LRS States Degradation and Data Retention Time // Russ. Microelectron. 2021. V. 50. № 5. P. 311–325.
  80. Kempen T., Waser R., Rana V. 50x Endurance Improvement in TaOx RRAM by Extrinsic Doping // 2021 IEEE International Memory Workshop (IMW). Dresden, Germany: IEEE, 2021. P. 1–4.
  81. Ryu H., Kim S. Effects of Oxygen Precursor on Resistive Switching Properties of CMOS Compatible HfO2-Based RRAM // Metals. 2021. V. 11. № 9. P. 1350.
  82. Lee H.Y., Chen P.S., Wu T.Y. Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM // 2008 IEEE International Electron Devices Meeting. San Francisco, CA, USA: IEEE, 2008. P. 1–4.
  83. Koveshnikov S., Matthews K., Min K. Real-time study of switching kinetics in integrated 1T/HfOx 1R RRAM: Intrinsic tunability of set/reset voltage and trade-off with switching time // 2012 International Electron Devices Meeting. San Francisco, CA, USA: IEEE, 2012. P. 20.4.1–20.4.3.
  84. Yin B., Wang Y., Xie G., Guo B., Gong J.R. Memristors based on TiOx/HfOx or AlOx/HfOx Multilayers with Gradually Varied Thickness // Phys. Status Solidi RRL – Rapid Res. Lett. 2021. V. 15, № 6. P. 2000607.
  85. Syu Y.-E., Zhang R., Chang T.-C. Endurance Improvement Technology With Nitrogen Implanted in the Interface of WSiOx Resistance Switching Device // IEEE Electron Device Lett. 2013. V. 34. № 7. P. 864–866.
  86. Biswas S., Paul A.D., Das P., Tiwary P., Edwards H.J., Dhanak V.R., Mitrovic I.Z., Mahapatra R. Impact of AlO y Interfacial Layer on Resistive Switching Performance of Flexible HfOx/AlOy ReRAMs // IEEE Trans. Electron Devices. 2021. V. 68. № 8. P. 3787–3793.
  87. Persson K.-M., Ram M.S., Wernersson L.-E. Ultra-Scaled AlOx Diffusion Barriers for Multibit HfOx RRAM Operation // IEEE J. Electron Devices Soc. 2021. V. 9. P. 564–569.

© А.Г. Исаев, О.О. Пермякова, А.Е. Рогожин, 2023

Данный сайт использует cookie-файлы

Продолжая использовать наш сайт, вы даете согласие на обработку файлов cookie, которые обеспечивают правильную работу сайта.

О куки-файлах