Structure and materials of FinFET transistors

Cover Page

Cite item

Full Text

Open Access Open Access
Restricted Access Access granted
Restricted Access Subscription Access

Abstract

This work provides a detailed review of the FinFET three-dimensional transistor technologies evolution. The features of the FinFET transistors formation by various manufacturers, as well as the materials used in them and the main approaches to improving the production technologies are considered. Analysis and comparison of the key characteristics of FinFET transistors produced using technology node from 22 to 3 nm have been conducted based on publicly available sources.

About the authors

D. A. Abdullayev

Institute of Nanotechnology and Microelectronics of RAS

Email: abdullaev.d@inme-ras.ru
Moscow, Russia

L. M. Kolchina

Institute of Nanotechnology and Microelectronics of RAS

Moscow, Russia

R. A. Milovanov

Institute of Nanotechnology and Microelectronics of RAS

Moscow, Russia

References

  1. Bohr M.T. Logic technology scaling to continue moore’s law // 2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM). – IEEE, 2018. P. 1–3. https://doi.org/10.1109/EDTM.2018.8421433
  2. Abdullaev D.A. Change set of applied materials at reduction topological norms production of integrated microcircuits // Nano- and Microsystems Technology. 2014. № 5. P. 32–38.
  3. Jung E.S. Creating the Future with Silicon // Advanced Materials Technologies. 2023. V. 8. № 20. P. 2200867. https://doi.org/10.1002/admt.202200867
  4. Auth C. 22 nm fully-depleted tri-gate CMOS transistors // Proceedings of the IEEE 2012 Custom Integrated Circuits Conference. – IEEE, 2012. P. 1–6. https://doi.org/10.1109/CICC.2012.6330657
  5. Jan C.H., Bhattacharya U., Brain R., Choi S.J., Curello G., Gupta G., Bai P. A 22 nm SoC platform technology featuring 3-D Tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications // 2012 International Electron Devices Meeting. – IEEE, 2012. P. 3.1. 1–3.1. 4. https://doi.org/10.1109/IEDM.2012.6478969
  6. Lourts Deepak A., Dhulipalla L. Performance comparison of CMOS and FinFET based SRAM for 22nm Technology // International Journal of Conceptions on Electronics and Communication Engineering. 2013. V. 1. № 1.
  7. Arabinda Das . Intel’s 22-nm process gives MOSFET switch a facelift // EE Times. URL: https://www.eetimes.com/intels-22-nm-process-gives-mosfet-switch-a-facelift/ (Accessed: 13.05.2025).
  8. Kaeslin H. Top-down digital VLSI design: from architectures to gate-level circuits and FPGAs. – Morgan Kaufmann, 2014, ISBN: 978-0-12-8000730-3
  9. Auth C., Allen C., Blattner A., Bergstrom D., Brazier M., Bost M., Mistry K. A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors //2012 symposium on VLSI technology (VLSIT). – IEEE, 2012. P. 131–132. https://doi.org/ 10.1109/VLSIT.2012.6242496
  10. Intel details 22 nm trigate SoC process at IEDM // SolidStateTechnology. URL: https://sst.semiconductor-digest.com/chipworks_real_chips_blog/page/5/ (Accessed: 13.05.2025).
  11. Ustroystvo protsessorov Intel Ivy Bridge // iXBT. URL: https://www.ixbt.com/cpu/ivy-bridge-architecture-2.shtml (Accessed: 13.05.2025).
  12. Vitale S.A., Kedzierski J., Healey P., Wyatt P.W., Keast C.L. Work-function-tuned TiN metal gate FDSOI transistors for subthreshold operation // IEEE Transactions on Electron Devices. 2010. V. 58. № 2. P. 419–426. https://doi.org/10.1109/TED.2010.2092779
  13. Lima L.P.B., Dekkers H.F.W., Lisoni J.G., Diniz J.A., Van Elshocht S., De Gendt S. Metal gate work function tuning by Al incorporation in TiN // Journal of Applied Physics. 2014. V. 115. № 7.
  14. James D. Moore’s Law Continues into the 1x-nm Era // 2016 21st International Conference on Ion Implantation Technology (IIT). – IEEE, 2016. P. 1–10. https://doi.org/10.1063/1.4866323
  15. Erben E., Hempel K., Triyoso D. Work function setting in high-k metal gate devices // Complementary Metal Oxide Semiconductor. – 2018, ISBN:978-1-78923-497-8.
  16. Rahman A., Bai P., Curello G., Hicks J., Jan C.H., Jamil M., Yeh J.Y. Reliability studies of a 22 nm SoC platform technology featuring 3-D tri-gate, optimized for ultra low power, high performance and high density application // 2013 IEEE International Reliability Physics Symposium (IRPS). – IEEE, 2013. pp. PI-2. https://doi.org/10.1109/IRPS.2013.6532105
  17. Kanter D. Intel’s 22FFL Process Improves Power, Cost, and Analog // Real World Technologies. https://www.realworldtech.com/intel-22ffl-process/ (Accessed: 13.05.2025).
  18. Sell B., Bigwood B., Cha S., Chen Z., Dhage P., Fan P., Bai P. 22FFL: A high performance and ultra low power FinFET technology for mobile and RF applications // 2017 IEEE International Electron Devices Meeting (IEDM). – IEEE, 2017. P. 29.4. 1–29.4. 4 . https://doi.org/10.1109/IEDM.2017.8268475
  19. Lee H.J., Callender S., Rami S., Shin W., Yu Q., Marulanda J.M. Intel 22nm low-power FinFET (22FFL) process technology for 5G and beyond // 2020 IEEE Custom Integrated Circuits Conference (CICC). – IEEE, 2020. P. 1–7. https://doi.org/10.1109/CICC48029.2020.9075914
  20. Su C.Y., Armstrong M., Jiang L., Kumar S.A., Landon C.D., Liu S., Ramey S. Transistor reliability characterization and modeling of the 22FFL FinFET technology // 2018 IEEE International Reliability Physics Symposium (IRPS). – IEEE, 2018. pp. 6F-8. https://doi.org/10.1109/IRPS.2018.8353652
  21. Khaja F.A., Gossmann H.J.L., Colombeau B., Thanigaivelan T. Bulk FinFET junction isolation by heavy species and thermal implants // 2014 20th International Conference on Ion Implantation Technology (IIT). – IEEE, 2014. P. 1–4. https://doi.org/10.1109/IIT.2014.6939998
  22. Li R., Liu Y., Zhang K., Zhao C., Zhu H., Yin H. Punch through stop layer optimization in bulk FinFETs // 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT). – IEEE, 2014. P. 1–3. https://doi.org/10.1109/ICSICT.2014.7021523
  23. Biswas J., Pradhan N., Biswas D., Das S., Mahapatra S., Lodha S . Impact of punch-through stop implants on channel doping and junction leakage for Ge p -FinFET applications // IEEE Transactions on Electron Devices. – 2019. V. 66. № 4. P. 1635–1641. https://doi.org/10.1109/TED.2019.2897158
  24. Veendrick H.J.M. Nanometer CMOS ICs. – Springer International Publishing AG, 2017, ISBN: 978-3-319-47597-4.
  25. Khandelwal S., Duarte J.P., Medury A., Chauhan Y.S., Hu C. New industry standard FinFET compact model for future technology nodes // 2015 Symposium on VLSI Technology (VLSI Technology). – IEEE, 2015. P. T62–T63. https://doi.org/10.1109/VLSIT.2015.7223704
  26. Bohr M. 14 nm Process Technology: Opening New Horizons// Intel. URL: https://www.intel.com/content/dam/www/public/us/en/documents/technolo gy-briefs/bohr-14nm-idf-2014-brief.pdf (Accessed: 13.05.2025).
  27. James D. Moore’s Law Continues into the 1x-nm Era // 2016 21st International Conference on Ion Implantation Technology (IIT). – IEEE, 2016. P. 1–10.https://doi.org/10.1109/IIT.2016.7882895
  28. Jan C.H., Al-Amoody, F., Chang H.Y., Chang T., Chen Y.W., Dias N., Bai P. A 14 nm SoC platform technology featuring 2nd generation Tri-Gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 µm 2 SRAM cells, optimized for low power, high performance and high density SoC products // 2015 Symposium on VLSI Technology (VLSI Technology). – IEEE, 2015. P. T12–T13. https://doi.org/10.1109/VLSIT.2015.7223683
  29. James D. A Quick Look at 14-nm and 10-nm Devices// NCCAVS. URL: https://nccavs-usergroups.avs.org/wp-content/uploads/JTG2018/JTG718-4-James-Siliconics.pdf (Accessed: 13.05.2025).
  30. Logic Node Samsung Semiconductor// Samsung. URL: https://semiconductor.samsung.com/foundry/process-technology/logic-node/ (Accessed: 13.05.2025).
  31. Gibb K. Samsung’s 14 nm LPE FinFET transistors// eeNews Europe. URL: https://www.eenewseurope.com/en/samsungs-14-nm-lpe-finfet-transistors/ (Accessed: 13.05.2025).
  32. Wu S.Y., Lin C.Y., Chiang M.C., Liaw J.J., Cheng J.Y., Yang S.H., Ku Y. An enhanced 16nm CMOS technology featuring 2nd generation FinFET transistors and advanced Cu/low-k interconnect for low power and high performance applications // 2014 IEEE International Electron Devices Meeting. – IEEE, 2014. P. 3.1. 1–3.1. 4. https://doi.org/10.1109/IEDM.2014.7046970
  33. Wu S.Y., Lin C.Y., Chiang M.C., Liaw J.J., Cheng J.Y., Yang S.H., Ku Y. A 16 nm FinFET CMOS technology for mobile SoC and computing applications // 2013 IEEE International Electron Devices Meeting. – IEEE, 2013. P. 9.1. 1–9.1. 4. https://doi.org/ 10.1109/IEDM.2013.6724591
  34. Johnson С.R. FinFETs + FD-SOI Proposition: May Save Power // EETimes. URL: https://www.eetimes.com/finfets-fd-soi-proposition-may-save-power/ (Accessed: 20.05.2025).
  35. Lin C.H., Greene B., Narasimha S., Cai J., Bryant A., Radens C., Agnello P. High performance 14 nm SOI FinFET CMOS technology with 0.0174 µm 2 embedded DRAM and 15 levels of Cu metallization // 2014 IEEE International Electron Devices Meet ing. – IEEE, 2014. P. 3.8. 1–3.8. 3. https://doi.org/ 10.1109/IEDM.2014.7046977
  36. Intel, IBM Follow Different Strategies On 14nm FinFET // CdrInfo.com. URL: https://cdrinfo.com/d7/content/intel-ibm-follow-different-strategies-14nm-finfet (Accessed: 20.05.2025).
  37. W901 Weekly Report: This is the most basic FinFET principle // Baidu.com. URL: https://wapbaike.baidu.com/tashuo/browse/content?id=703153 e271698ec87b05f7bc (Accessed: 20.05.2025).
  38. Cutress I. Intel’s 10 nm Cannon Lake and Core i3-8121U Deep Dive Review // AnandTech. URL: https://www.anandtech.com/show/13405/intel-10nm-cannon-lake-and-core-i3-8121u-deep-dive-review/3 (Accessed: 20.05.2025).
  39. Oldiges P., Vega R.A., Utomo H.K., Lanzillo N.A., Wassick T., Li J., Shahidi G.G. Chip power-frequency scaling in 10/7 nm node // IEEE Access. – 2020. V. 8. P. 154329–154337. https://doi.org/ 10.1109/ACCESS.2020.3017756
  40. Auth C., Aliyarukunju A., Asoro M., Bergstrom D., Bhagwat V., Birdsall J., Yeoh A. A 10 nm high performance and low-power CMOS technology featuring 3 rd generation FinFET transistors, Self-Aligned Quad Patterning, contact over active gate and cobalt local interconnects // 2017 IEEE International Electron Devices Meeting (IEDM). – IEEE, 2017. P. 29.1. 1–29.1. 4. https://doi.org/ 10.1109/IEDM.2017.8268472
  41. James D., Gelsinger P. Takes Us on a Trip Down Memory Lane – and a Look Ahead// TechInsights. URL: https://www.techinsights.com/blog/pat-gelsinger-takes-us-trip-down-memory-lane-and-look-ahead (Accessed: 20.05.2025).
  42. Strojwas A.J., Doong K., Ciplickas D. Yield and Reliability Challenges at 7 nm and Below // 2019 Electron Devices Technology and Manufacturing Conference (EDTM). – IEEE, 2019. P. 179–181 . https://doi.org/10.1109/EDTM.2019.8731146
  43. Razavieh A., Mahajan V., Oo W.L., Cimino S., Kho - kale S.V., Nagahiro K., Lee T.H. FinFET with contact over active-gate for 5G ultra-wideband applications // 2020 IEEE Symposium on VLSI Technology. – IEEE, 2020. P. 1–2. https://doi.org/10.1109/VLSITechnology18217.2020.9265095
  44. Shilling A. Sravneniye tekhprotsessov 10 i 14 nm Intel, TSMC и Samsung // Hardwareluxx.ru. URL: https://www.hardwareluxx.ru/index.php/news/ hardware/prozessoren/44187-10-14-nm-intel-tsmc-samsung.html (Accessed: 20.05.2025).
  45. James D. A Quick Look at 14-nm and 10-nm Devices // NCCAVS. URL: https://nccavs-usergroups.avs.org/wp-content/uploads/JTG2018/JTG718-4-James-Siliconics.pdf#page=13.00 (Accessed: 20.05.2025).
  46. Semiconductor Process Technology// MSSCORPS. URL: https://www.msscorps.com/ec99/rwd1520/category.asp?category_id=23 (Accessed: 20.05.2025).
  47. Kanter D. Intel 4 Process Scales Logic with Design, Materials, and EUV // Real World Tech. URL: https://www.realworldtech.com/intel-4/ (Accessed: 20.05.2025).
  48. Scotten J. Intel 4 Deep Dive // SemiWiki. URL: https://semiwiki.com/semiconductor-manufacturers/intel/314047-intel-4-presented-at-vlsi/ (Accessed: 20.05.2025).
  49. Singer P. Intel 4 Process Drops Cobalt Interconnect, Goes with Tried and Tested Copper with Cobalt Liner/Cap // Semiconductor Digest. URL: https://www.semiconductor-digest.com/intel-4-process-drops-cobalt-interconnect-goes-with-tried-and-tested-copper-with-cobalt-liner-cap/ (Accessed: 20.05.2025).
  50. Mujtaba H. Intel 3 Process Node: 18% Performance at Same Power, 10% Higher Density, Shipping Xeon 6 CPUs Now // Wccftech. URL: https://wccftech.com/intel-3-process-node-18-percent-performance-same-power-10-percent-higher-density-shipping-xeon-6-cpus-now/ (Accessed: 20.05.2025).
  51. Hafez W. Intel Delivers Leading-Edge Foundry Node with Intel 3 Technology; on Path Back to Process Leadership // Intel Community. URL: https://community.intel.com/t5/Blogs/Intel-Foundry/Systems-Foundry-for-the-AI-Era/Intel-Delivers-Leading-Edge-Foundry-Node-with-Intel-3-Technology/post/1607454 (Accessed: 20.05.2025).
  52. Intel Reaches 3 nm Milestone // Global SMT & Packaging. URL: https://www.globalsmt.net/advanced-packaging/intel-reaches-3nm-milestone/ (Accessed: 20.05.2025).
  53. Samsung Electronics Starts Production of EUV-Based 7nm LPP Process// Samsung Semiconductor EMEA. URL: https://semiconductor.samsung.com/emea/news-events/news/samsung-electronics-starts-production-of-euv-based-7nm-lpp-process/ (Accessed: 20.05.2025).
  54. Ha D., Yang C., Lee J., Lee S., Lee S.H., Seo K.I., Jung E.S. Highly manufacturable 7 nm FinFET technology featuring EUV lithography for low power and high performance applications // 2017 Symposium on VLSI Technology. – IEEE, 2017. P. T68–T69 . https://doi.org/10.23919/VLSIT.2017.7998202
  55. Xie R., Montanini P., Akarvardar K., Tripathi N., Haran B., Johnson S., Khare M. A 7 nm FinFET technology featuring EUV patterning and dual strained high mobility channels // 2016 IEEE international electron devices meeting (IEDM). – IEEE, 2016. P. 2.7. 1–2.7. 4, https://doi.org/10.1109/IEDM.2016.7838334.
  56. Guo D., Karve G., Tsutsui G., Lim K. Y., Robison R., Hook T., Khare M. FinFET technology featuring high mobility SiGe channel for 10 nm and beyond // 2016 IEEE Symposium on VLSI Technology. – IEEE, 2016. P. 1–2. https://doi.org/10.1109/VLSIT.2016.7573360
  57. Kurniawan E.D., Du Y.T., Wu Y.C., Lin Y.H. Optimization of leakage current suppression for super steep retrograde well (SSRW) 5nm-node FinFET technology // 2018 International Conference on Radar, Antenna, Microwave, Electronics, and Telecommunications (ICRAMET). – IEEE, 2018. P. 104–107. https://doi.org/10.1109/ICRAMET.2018.8683936
  58. nm Technology// TSMC. URL: https://www.tsmc.com/english/ dedicatedFoundry/technology/logic/l_7nm (Accessed: 20.05.2025).
  59. nm lithography process// WikiChip. URL: https://en.wikichip.org/ wiki/7_nm_lithography_process (Accessed: 20.05.2025).
  60. Wu S.Y., Lin C.Y., Chiang M.C., Liaw J.J., Cheng J.Y., Yang S.H., Jang S.M. A 7 nm CMOS platform technology featuring 4th generation FinFET transistors with a 0.027 µm 2 high density 6-T SRAM cell for mobile SoC applications // 2016 IEEE International Electron Devices Meeting (IEDM). – IEEE, 2016. P. 2.6. 1–2.6. 4. https://doi.org/10.1109/IEDM.2016.7838333
  61. Das A. Turning the nascent into the adjacent – tracking patent innovation // UnitedLex. URL: https://unitedlex.com/insights/turning-the-nascent-into-the-adjacent-tracking-patent-innovation/ (Accessed: 20.05.2025).
  62. Chen F. SALELE Double Patterning for 7 nm and 5 nm Nodes // LinkedIn. URL: https://www.linkedin.com/pulse/salele-double-patterning-7nm-5nm-nodes-frederick-chen (Accessed: 20.05.2025).
  63. Bae D., Bae G., Bhuwalka K.K., Lee S.H., Song M.G., Jeon T.S., Jung E.S. A novel tensile Si (n) and compressive SiGe (p) dual-channel CMOS FinFET co-integration scheme for 5 nm logic applications and beyond // 2016 IEEE International Electron Devices Meeting (IEDM). – IEEE, 2016. P. 28.1.1–28.1.4. https://doi.org/10.1109/IEDM.2016.7838496
  64. TEM analysis on Samsung 5 nm technology node // MSSCORPS. URL: https://en.msscorps.com/ec99/rwd1772/news.asp?newsno=5 (Accessed: 20.05.2025).
  65. Transistor Architecture Generation: From FinFETs to GAAFETs // EDN Taiwan. URL: https://www.edntaiwan.com/20241106nt71-transistor-architecture-generation-from-finfets-to-gaafets/ (Accessed: 20.05.2025).
  66. Hiramoto T. Five nanometre CMOS technology //Nature Electronics. – 2019. V. 2. № 12. P. 557–558. https://doi.org/10.1038/s41928-019-0343-x
  67. Liu J.C., Mukhopadhyay S., Kundu A., Chen S.H., Wang H.C., Huang D.S., He J. A reliability enhanced 5 nm CMOS technology featuring 5th generation FinFET with fully-developed EUV and high mobility channel for mobile SoC and high performance computing application // 2020 IEEE International Electron Devices Meeting (IEDM). – IEEE, 2020. P. 9.2.1–9.2.4. https://doi.org/ 10.1109/IEDM13553.2020.9372009
  68. Kwon Y.M. Revealing the Hidden Innovations within the A15 Bionic SoC Found in the iPhone 13 // Unitedlex. URL: https://unitedlex.com/insights/revealing-the-hidden-innovations-within-the-a15-bionic-soc-found-in-the/ (Accessed: 20.05.2025).
  69. Smith R. Qualcomm Announces Snapdragon 8 Gen 1: Moving to TSMC for More Speed, Lower Power // AnandTech. URL: https://www.anandtech .com/show/17395/qual- comm-announces-snapdragon-8-gen-1-moving-to-tsmc-for-more-speed-lower-power (Accessed: 20.05.2025).
  70. Li R., Boyd J. Qualcomm dual-sourced Snapdragon 8(+) Gen1 SOC // TechInsights. URL: https://www.techinsights.com/blog/qualcomm-snapdragon-8-gen1-soc (Accessed: 20.05.2025).
  71. Samsung Begins Chip Production Using 3 nm Process Technology with GAA Architecture // Samsung Newsroom. URL: https://web.archive.org/web/20220630035207/https://news.samsung.com/global/samsung-begins-chip-production-using-3nm-process-technology-with-gaa-architecture (Accessed: 20.05.2025).
  72. Patel D., Ahmad A. TSMC’s 3 nm Conundrum, Does It Even Make Sense? – N3 & N3E Process Technology & Cost Detailed // SemiAnalysis. URL: https://semianalysis.com/2022/12/21/tsmcs-3nm-conundrum-does-it-even/ (Accessed: 20.05.2025).

Supplementary files

Supplementary Files
Action
1. JATS XML

Copyright (c) 2025 Russian Academy of Sciences

Согласие на обработку персональных данных с помощью сервиса «Яндекс.Метрика»

1. Я (далее – «Пользователь» или «Субъект персональных данных»), осуществляя использование сайта https://journals.rcsi.science/ (далее – «Сайт»), подтверждая свою полную дееспособность даю согласие на обработку персональных данных с использованием средств автоматизации Оператору - федеральному государственному бюджетному учреждению «Российский центр научной информации» (РЦНИ), далее – «Оператор», расположенному по адресу: 119991, г. Москва, Ленинский просп., д.32А, со следующими условиями.

2. Категории обрабатываемых данных: файлы «cookies» (куки-файлы). Файлы «cookie» – это небольшой текстовый файл, который веб-сервер может хранить в браузере Пользователя. Данные файлы веб-сервер загружает на устройство Пользователя при посещении им Сайта. При каждом следующем посещении Пользователем Сайта «cookie» файлы отправляются на Сайт Оператора. Данные файлы позволяют Сайту распознавать устройство Пользователя. Содержимое такого файла может как относиться, так и не относиться к персональным данным, в зависимости от того, содержит ли такой файл персональные данные или содержит обезличенные технические данные.

3. Цель обработки персональных данных: анализ пользовательской активности с помощью сервиса «Яндекс.Метрика».

4. Категории субъектов персональных данных: все Пользователи Сайта, которые дали согласие на обработку файлов «cookie».

5. Способы обработки: сбор, запись, систематизация, накопление, хранение, уточнение (обновление, изменение), извлечение, использование, передача (доступ, предоставление), блокирование, удаление, уничтожение персональных данных.

6. Срок обработки и хранения: до получения от Субъекта персональных данных требования о прекращении обработки/отзыва согласия.

7. Способ отзыва: заявление об отзыве в письменном виде путём его направления на адрес электронной почты Оператора: info@rcsi.science или путем письменного обращения по юридическому адресу: 119991, г. Москва, Ленинский просп., д.32А

8. Субъект персональных данных вправе запретить своему оборудованию прием этих данных или ограничить прием этих данных. При отказе от получения таких данных или при ограничении приема данных некоторые функции Сайта могут работать некорректно. Субъект персональных данных обязуется сам настроить свое оборудование таким способом, чтобы оно обеспечивало адекватный его желаниям режим работы и уровень защиты данных файлов «cookie», Оператор не предоставляет технологических и правовых консультаций на темы подобного характера.

9. Порядок уничтожения персональных данных при достижении цели их обработки или при наступлении иных законных оснований определяется Оператором в соответствии с законодательством Российской Федерации.

10. Я согласен/согласна квалифицировать в качестве своей простой электронной подписи под настоящим Согласием и под Политикой обработки персональных данных выполнение мною следующего действия на сайте: https://journals.rcsi.science/ нажатие мною на интерфейсе с текстом: «Сайт использует сервис «Яндекс.Метрика» (который использует файлы «cookie») на элемент с текстом «Принять и продолжить».