Interconnects Materials for Integrated Circuit Technology Below 5 Nm Node

Capa

Citar

Texto integral

Acesso aberto Acesso aberto
Acesso é fechado Acesso está concedido
Acesso é fechado Somente assinantes

Resumo

As the integrated circuits is scaled few problems appear at the lowest levels of interconnects — high resistance of copper lines and copper electromigration. High resistance is connected with the increasing contribution of the electron surface scattering and grain boundary scattering. Moreover, copper lines require barrier layers decreasing the cross-section of the copper part of the line. Also the resistance of copper to electromigration is insufficient for the technology node below 5nm. Therefore, it is necessary to look for alternative materials to replace copper, which will provide high resistance to electromigration and low resistance of the lines. The most promising candidates are Ru, Mo, Rh, Ir. The advantages and disadvantages of these materials are considered in this paper.

Sobre autores

A. Rogozhin

Valiev Institute of Physics and Technology of Russian Academy of Sciences

Email: glaz@ftian.ru
Rússia, Moscow

O. Glaz

Valiev Institute of Physics and Technology of Russian Academy of Sciences; MPEI National Research University

Autor responsável pela correspondência
Email: glaz@ftian.ru
Rússia, Moscow; Moscow

Bibliografia

  1. Seehra M., Bristow A. (ed.). Noble and Precious Metals: Properties, Nanoscale Effects and Applications. BoD — Books on Demand, 2018.
  2. Wen L., Yamashita F., Tang B., Croes K., Tahara S., Shimoda K., Tökei Z. IEEE International Interconnect Technology Conference (IITC) // IEEE International Interconnect Technology Conference (IITC). 2015. P. 173.
  3. Wu F., Levitin G., Hess D. W. Low-temperature etching of Cu by hydrogen-based plasmas // ACS Applied Materials & Interfaces. 2010. V. 2. No. 8. P. 2175–2179.
  4. Kapur P., McVittie J.P., Saraswat K.C. Technology and reliability constrained future copper interconnects. I. Resistance modeling // IEEE Transactions on Electron Devices. 2002. V. 49. No. 4. P. 590–597.
  5. Gall D. The search for the most conductive metal for narrow interconnect lines // Journal of Applied Physics. 2020. V. 127. No. 5.
  6. Yeoh A., Madhavan A., Kybert N., Anand S., Shin J., Asoro M. IEEE International Interconnect Technology Conference (IITC) // IEEE International Interconnect Technology Conference (IITC). 2018. P. 144.
  7. Bekiaris N., Mont F. W., Zhang X., Wang W., Kelly J.J., Standaert T.E., Quon R., Ryan E. IEEE International Interconnect Technology Conference (IITC) // IEEE International Interconnect Technology Conference (IITC). 2017. V. 2017. P. 1–3.
  8. Hegde G., Bowen R.C., Simka H. IEEE International Interconnect Technology Conference (IITC) // IEEE International Interconnect Technology Conference (IITC). 2018. P. 163.
  9. Kamineni V., Raymond M., Siddiqui S., Mont F., Tsai S., Niu C., L’Herron B. IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC, AMC) // IEEE International Interconnect Technology Conference IITC. IEEE, 2016. P. 105.
  10. Wen L.G., Cui Y., Kuwahara Y., Mori K., Yamashita H. Atomic layer deposition of ruthenium with TiN interface for sub-10 nm advanced interconnects beyond copper // ACS applied materials & interfaces. 2016. V. 8. No. 39. P. 26119–26125.
  11. Fan S.S.C., Chen J.H.C., Kamineni V.K., Zhang X., Raymond M., Labelle C. IEEE International Interconnect Technology Conference (IITC) // IEEE. 2017. V. 2017. P. 1–3.
  12. Nogami T., Patlolla R., Kelly J., Briggs B., Huang H., Demarest J., Paruchuri V. Cobalt/copper composite interconnects for line resistance reduction in both fine and wide lines // 2017 IEEE International Interconnect Technology Conference (IITC). IEEE, 2017. P. 1–3.
  13. West A.C. Theory of filling of high‐aspect ratio trenches and vias in presence of additives // Journal of the Electrochemical Society. 2000. V. 147. No. 1. P. 227.
  14. Andricacos P.C., Uzoh C., Dukovic J.О., Horkans J., Deligianni H. // IBM J. Res. Develop. 1998. V. 42. P. 567.
  15. Broadbent E.K., McInerney E.J., Gochberg L.A., Jackson R.L. Experimental and analytical study of seed layer resistance for copper damascene electroplating // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. 1999. V. 17. No. 6. P. 2584–2595.
  16. Wolf S. Process technology // Silicon Processing for the VLSI Era. 1986. V. 1. P. 532.
  17. Maex K., Baklanov M.R., Shamiryan D., Lacopi F., Brongersma S.H., Yanovitskaya Z.S. Low dielectric constant materials for microelectronics // Journal of Applied Physics. 2003. V. 93. No. 11. P. 8793–8841.
  18. Cheng Y.L., Wang Y.L., Liu C.W., Wu Y.L., Lo K.Y., Liu C.P., Lan J.K. Characterization and reliability of low dielectric constant fluorosilicate glass and silicon rich oxide process for deep sub-micron device application // Thin Solid Films. 2001. V. 398. P. 533–538.
  19. Penny C., Gates S., Peethala B., Lee J., Priyadarshini D., Nguyen S., Huang E. Reliable airgap BEOL technology in advanced 48 nm pitch copper/ULK interconnects for substantial power and performance benefits // 2017 IEEE International Interconnect Technology Conference (IITC). IEEE, 2017. P. 1–4.
  20. Choi D., Liu X., Schelling P., Coffey K., Barmak K. Failure of semiclassical models to describe resistivity of nanometric, polycrystalline tungsten films // Journal of Applied Physics. 2014. V. 115. No. 10.
  21. Munoz R.C., Arenas C. Size effects and charge transport in metals: Quantum theory of the resistivity of nanometric metallic structures arising from electron scattering by grain boundaries and by rough surfaces // Applied Physics Reviews. 2017. V. 4. No. 1.
  22. Zhou T., Gall D. Resistivity scaling due to electron surface scattering in thin metal layers // Physical Review B. 2018. V. 97. No. 16. P. 165406.
  23. Fuchs K. The conductivity of thin metallic films according to the electron theory of metals // Mathematical Proceedings of the Cambridge Philosophical Society. Cambridge University Press. 1938. V. 34. No. 1. P. 100–108.
  24. Sondheimer E.H. The mean free path of electrons in metals // Advances in physics. 2001. V. 50. No. 6. P. 499–537.
  25. Zheng P.Y., Deng R.P., Gall D. Ni doping on Cu surfaces: Reduced copper resistivity // Applied Physics Letters. 2014. V. 105. No. 13.
  26. Purswani J.M., Gall D. Surface morphological evolution during annealing of epitaxial Cu (001) layers // Journal of Applied Physics. 2008. V. 104. No. 4.
  27. Chawla J.S., Gall D. Specular electron scattering at single-crystal Cu (001) surfaces // Applied Physics Letters. 2009. V. 94. No. 25.
  28. Milosevic E., Gall D. Copper interconnects: Surface state engineering to facilitate specular electron scattering // IEEE Transactions on Electron Devices. 2019. V. 66. No. 6. P. 2692–2698.
  29. Orlov A.A., Rezvanov A.A., Gvozdev V.A., Orlov G.A., Seregin D.S., Kuznecov P.I., Blumberg T., Veselov A.A., Suzuki T., Morozov E.N., Vorotilov K.A. Dielectric barrier in the subtractive process of forming a copper metallization system // Microelectronics. 2022. V. 51. No. 6. P. 478–487.
  30. Chawla J.S., Zahid F., Guo H., Gall D. Effect of O2 adsorption on electron scattering at Cu (001) surfaces // Applied Physics Letters. 2010. V. 97. No. 13.
  31. Plombon J.J., Andideh E., Dubin V. M., Maiz J. Influence of phonon, geometry, impurity, and grain size on copper line resistivity // Applied physics letters. 2006. V. 89. No. 11.
  32. Barmak K., Darbal A., Ganesh K.J., Ferreira P.J., Rickman J.M., Sun T., Coffey K.R. Surface and grain boundary scattering in nanometric Cu thin films: A quantitative analysis including twin boundaries // Journal of Vacuum Science & Technology A. 2014. V. 32. No. 6.
  33. Chawla J.S., Gstrein F., O’Brien K.P., Clarke J.S., Gall D. Electron scattering at surfaces and grain boundaries in Cu thin films and wires // Physical Review B. 2011. V. 84. No. 23. P. 235423.
  34. Sun T., Yao B., Warren A.P., Barmak K., Toney M.F., Peale R.E., Coffey K.R. Surface and grain-boundary scattering in nanometric Cu films // Physical Review B. 2010. V. 81. No. 15. P. 155454.
  35. Lim J.W., Mimura K., Isshiki M. Thickness dependence of resistivity for Cu films deposited by ion beam deposition // Applied Surface Science. 2003. V. 217. No. 1–4. P. 95–99.
  36. Kim T.H., Zhang X.G., Nicholson D.M., Evans B.M., Kulkarni N.S., Radhakrishnan B., Li A.P. Large discrete resistance jump at grain boundary in copper nanowire // Nano letters. 2010. V. 10. No. 8. P. 3096–3100.
  37. Lanzillo N.A. Ab Initio evaluation of electron transport properties of Pt, Rh, Ir, and Pd nanowires for advanced interconnect applications // Journal of Applied Physics. 2017. V. 121. No. 17.
  38. Mahviladze T.M., Sarychev M.E. The effect of point defects on the occurrence of electromigration in an impurity conductor // Microelectronics. 2021. V. 50. No. 5. P. 376–383.
  39. Mahviladze T.M., Sarychev M.E. The effect of point defects on the rate of electromigration along the boundary of connected materials // Microelectronics. 2020. V. 49. No. 6. P. 450–458.
  40. Cheng Y.-L., Lee S.Y., Chiu C., Wu K. Back stress model on electromigration lifetime prediction in short length copper interconnects // 2008 IEEE International Reliability Physics Symposium. IEEE, 2008. P. 685–686.
  41. Valiev K.A., Gol’dshtejn R.V., Zhitnikov Yu.V., Mahviladze T.M., Sarychev M.E. Theory and modeling of nano- and micro-processes of destruction of thin-film conductors and durability of metallization of integrated circuits. Part I. The general theory of vacancy transfer, generation of mechanical stresses and generation of micro cavities during electromigration. Degradation and destruction of multilevel metallization // Microelectronics. 2009. V. 38. No. 6. P. 404–427.
  42. Lloyd J.R. Black’s law revisited —Nucleation and growth in electromigration failure // Microelectronics Reliability. 2007. V. 47. No. 9–11. P. 1468–1472.
  43. Gall D. Electron mean free path in elemental metals // Journal of applied physics. 2016. V. 119. No. 8.
  44. Dutta S., Sankaran K., Moors K., Pourtois G., Van Elshocht S., Bömmels J., Adelmann C. Thickness dependence of the resistivity of platinum-group metal thin films // Journal of Applied Physics. 2017. V. 122. No. 2.
  45. Van der Veen M.H., Jourdan M.H., Gonzalez V.V., Wilson C.J., Heylen N., Pedreira O.V., Tokei Z. 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference, 2016.
  46. Dutta S., Moors K., Vandemaele M., Adelmann C. IEEE Electron Device Lett. 39, 268 (2018).
  47. Dutta S., Moors K., Vandemaele M., Adelmann C. Finite size effects in highly scaled ruthenium interconnects // IEEE Electron Device Letters. 2018. V. 39. No. 2. P. 268–271.
  48. Motoyama K. EM performance improvements for Cu interconnects with Ru-based liner and Co cap in advanced nodes // 2021 IEEE International Interconnect Technology Conference (IITC). IEEE, 2021. P. 1–3.
  49. Sell B., An S., Armstrong J., Bahr D., Bains B., Bambery R., Young N. Intel 4 CMOS technology featuring advanced FinFET transistors optimized for high density and high-performance computing // 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits). IEEE, 2022. P. 282–283.
  50. Griggio F., Palmer J., Pan F., Toledo N., Schmitz A., Tsameret I. Reliability of dual-damascene local interconnects featuring cobalt on 10 nm logic technology // 2018 IEEE International Reliability Physics Symposium (IRPS). IEEE, 2018. P. 6E. 3–1–6E. 3–5.
  51. Shalyt E., Palvov M., Yan X., Lin D. Process metrology of cobalt damascene interconnects // 2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC). IEEE, 2016. P. 186–188.
  52. Yeoh A., Madhavan A., Kybert N., Anand S., Shin J., Asoro M. Interconnect stack using self-aligned quad and double patterning for 10nm high volume manufacturing // 2018 IEEE International Interconnect Technology Conference (IITC). IEEE, 2018. P. 144–147.
  53. Decoster S., Camerotto E., Murdoch G., Kundu S., Le Q.T., Tőkei Z., Lazzarino F. Patterning challenges for direct metal etch of ruthenium and molybdenum at 32 nm metal pitch and below // Journal of Vacuum Science & Technology B. 2022. V. 40. No. 3.
  54. Founta V., Witters T., Mertens S., Vanstreels K., Meersschaut J., Van Marcke P. Molybdenum as an Alternative Metal: Thin Film Properties. 2019.
  55. Hsu C.C., Coburn J.W., Graves D.B. Etching of ruthenium coatings in O2-and Cl2-containing plasmas // Journal of Vacuum Science & Technology A. 2006. V. 24. No. 1. P. 1–8.
  56. Tan S. Atomic layer etch-Advancing its application with a new regime // 6th International Atomic Layer Etching Workshop (ALE, Bellevue). 2019.
  57. Lanzillo N.A., Edelstein D.C. Reliability and resistance projections for rhodium and iridium interconnects from first-principles // Journal of Vacuum Science & Technology B. 2022. V. 40. No. 5.

Arquivos suplementares

Arquivos suplementares
Ação
1. JATS XML
2. Fig. 1. TFVL process

Baixar (354KB)
3. Fig. 2. TFVL process

Baixar (316KB)
4. Fig. 3. Intersection of two tracks

Baixar (141KB)
5. Fig. 4. Dependence of relative dielectric permittivity on porosity

Baixar (89KB)
6. Fig. 5. Surface electron scattering (a) can be elastic and diffuse. Elastic scattering requires (b) an atomically smooth surface and a dielectric inoculum with a low density of localized states (c)

Baixar (422KB)
7. Fig. 6. Electron reflection coefficient from the boundary (a), grain boundary with coincident lattice nodes (b), with space charge (c) and with space charge compensation (d)

Baixar (422KB)
8. Fig. 7. Resistivity ρ of polycrystalline tracks with square cross-section as a function of their width d

Baixar (208KB)
9. Fig. 8. Resistivity of tracks with a cross-sectional aspect ratio of 2 : 1 from their width with and without a seed layer (2 nm)

Baixar (234KB)
10. Fig. 9. Melting point and corresponding product ρ0λ for different metals

Baixar (160KB)

Declaração de direitos autorais © Russian Academy of Sciences, 2024

Este site utiliza cookies

Ao continuar usando nosso site, você concorda com o procedimento de cookies que mantêm o site funcionando normalmente.

Informação sobre cookies