New concept for the development of high-performance X-ray lithography

Cover Page

Cite item

Full Text

Abstract

A brief overview of the current state of extreme ultraviolet (UV, EUV in English transcription), or, also, X-ray lithography at a wavelength of 13.5 nm in the world is given. The problems and prospects for the development of this technology in the coming years are discussed. A new concept of X-ray lithography in Russia is being developed at the Institute of Microstructure Physics of the Russian Academy of Sciences. The substantiation of the advantages and prospects of the feasibility of lithography at a wavelength new to lithography of 11.2 nm is given. A brief overview of the domestic level of development of critical technologies necessary for the creation of an X-ray lithograph is given.

Full Text

1. Введение

Среди сотен технологических операций и единиц оборудования, используемых при производстве микросхем, литография занимает особое место. Это связано с рядом обстоятельств. Прогресс микроэлектроники все эти годы, напрямую связан с уменьшением геометрических размеров топологических элементов. Чем меньше эти элементы, тем выше степень интеграции, информационная емкость и т. д. Топология и размеры элементов задаются литографией. Затраты на литографическое оборудование и стоимость самого процесса литографии могут на порядки величин превосходить другие затраты при производстве микросхем. Например, цена литографа с иммерсией на длине волны 193 нм может достигать 50 млн евро, литографа на длине волны 13.5 нм – от 300 млн евро, а комплект масок может стоить до 10 млн долларов и более.

Самые современные чипы, в основном, производятся с использованием литографии на длине волны 193 нм. Это стало возможным благодаря непрерывному развитию методов повышения разрешающей способности (RET – resolution enhancement technique в англоязычной транскрипции). Наиболее эффективными RET являются: внеосевое освещение шаблона [1]; иммерсия, позволившая повысить числовую апертуру проекционных объективов до NA = 1.32 [2]; фазосдвигающие шаблоны, которые уменьшили числовой коэффициент k в критерии пространственного разрешения Рэлея более чем в 2 раза [3], и многократное экспонирование (Multipatterning) [4]. Активно применяются методы оптической коррекции (OPC – optical proximity correction), улучшающие качество передачи рисунка маски на пластину с фоторезистом [5].

Еще одним важным направлением по снижению коэффициента k в Рэллеевском соотношении является совершенствование резистов в направлении повышения контраста, увеличивающего угол наклона края проявленного рисунка, и снижения шероховатости края [6].

В настоящее время применение RET позволило достичь предела разрешающей способности ультрафиолетовой литографии 8 нм [7].

Однако эти технологии резко увеличили стоимость литографического процесса и понизили выход годных изделий. Например, если современный чип памяти содержит около 80 слоев, а критических, т. е. с минимальными размерами, 25 слоев, то при размере hp = 8 нм (hp-half pitch) и использовании DUV (deep ultraviolet) 193i литографии только для их изготовления потребуется до 100 полных процессов на пластине.

Разрешающую способность литографии можно повысить, перейдя к более короткой длине волны. Исследования в области EUV литографии на длине волны λ = 13.5 нм, были начаты еще в 80-х годах прошлого столетия [8]. И если в начале разработкой собственного рентгеновского литографа занимались в США [9], Японии [10], Нидерландах [11] и в России [12], то к настоящему времени осталась только компания ASML, Нидерланды. Период разработки показал невероятную ее сложность, и только компания ASML смогла интегрировать самые передовые достижения со всего мира в своем продукте. Другие компании и организации, достигшие успехов в разработке определенных узлов и технологий для EUV литографа, сконцентрировались на этих разработках в интересах ASML.

Следует отметить так же продолжающиеся исследования в области литографии на синхротронах ALS и NewSUBARU [13, 14].

Несмотря на широкий фронт работ и большое число участников этого глобального проекта, только на рубеже 2018–2019 г. литографы на рабочей длине волны 13.5 нм стали применяться для производства чипов [15]. К концу 2023 г. на фабриках в США, Корее и Тайване при изготовлении критических слоев уже использовалось около 180 EUV литографов. Несмотря на относительную молодость этой технологии, она быстро распространяется и можно смело утверждать, что это литография следующего поколения. ASML ожидает дальнейшего роста производства EUV литографов. Если Россия планирует занимать достойное место в микроэлектронике, ей также необходимо развивать эту технологию.

После долгих лет забвения и, порой, агрессивного отторжения проектов, предлагаемых Салащенко Н. Н. и автором данной работы [16], тема рентгеновской литографии стала широко обсуждаемой в РФ. В значительной мере этому способствовало появление в 2022 г. Дорожной карты по развитию рентгеновской литографии в России, разработанной в Институте физики микроструктур РАН (ИФМ РАН), о которой пойдет речь ниже. Главное же, вызрело понимание в Правительстве важности поднятой проблемы. Появился ряд организаций, не имеющих заделов, тем не менее, готовых заняться этой проблемой.

Очевидно, что при таких исходных условиях, единственно возможным путем к собственному рентгеновскому литографу виделось повторение того, что сделала компания ASML. На основании длительного (начиная с середины 90-х и до 2014 г.) взаимодействия с ASML и ZEISS по разработке EUV литографа, а также собственного опыта создания экспериментального образца литографа, автор убежден, что попытка копирования литографа компании ASML не приведет к успеху. Этот вывод относится как к техническим, так и экономическим проблемам, стоящим на пути построения литографа на 13.5 нм.

Целями данной статьи является обсуждение существующей концепции EUV литографии, изложение и обоснование новой парадигмы рентгеновской литографии, реализация которой могла бы привести к успеху ее развития в обозримом будущем в России.

2. Концепция развития EUV литографии компанией ASML

В данном разделе не будем подробно останавливаться на критических технологиях литографии, принципах построения рентгеновского литографа, этому посвящено много оригинальных работ, монографий, например, [17]. В последнее время автор с коллегами обращался к этой теме в отечественных журналах и интернете [20–22]. Остановимся лишь на парадигме, в рамках которой строилась программа развития EUV литографии в ASML и тех последствиях, к которым привело следование этой концепции.

Концепция развития EUV литографии компании ASML основана на достижении производительности литографического процесса как у традиционной DUU литографии но, с выигрышем в пространственном разрешении и уменьшении числа операций на пластине, за счет уменьшения числа применяемых RET (RET – resolution enhancement techniques). И действительно, еще в 2012 г. на опытных литографах (β-tools) было показано, что если не учитывать низкий жизненный цикл элементов литографа и затраты на ремонт и простои оборудования, начиная с топологических норм 32 нм, стоимость литографического процесса на EUV машине становится меньше, чем на DUV. При уменьшении топологических размеров этот эффект только усиливается [23].

Следствием требования сравнимой с DUV литографией производительностью у рентгеновского литографа стал ряд трудно разрешимых проблем и крайне дорогостоящих их решений. Производительность определяется эффективностью отражающей многослойной оптики и конверсии подведенной к источнику энергии в EUV излучение на рабочей длине волны, в спектральной полосе, равной полосе пропускания оптической системы литографа.

Рекордные коэффициенты отражения многослойных Mo/Si зеркал на длине волны 13.5 нм составляют 70.15% [24] при теоретически возможном значении около 75%. Несмотря на огромные усилия исследователей, этот результат остается неизменным уже долгие годы. В работе [25] сообщалось о достигнутых коэффициентах отражения около 71.5%, однако в этих зеркалах используется Be, работы с которым в Европе ограничены, поэтому этот результат не находил своего применения в литографах.

Если рассчитать эффективность 12-ти зеркальной оптической системы литографа, состоящей из коллектора, 4-х зеркал осветителя, маски и 6-ти зеркал проекционного объектива, а также учесть коэффициенты пропускания фильтра, защищающего маску от загрязнений (pellicle), и фильтра, разделяющего объемы проекционного объектива и стола с экспонируемой пластиной GDL (gas dynamic lock), то эффективность системы составит менее 0.9%. На практике, эта величина еще меньше из-за низкого, на уровне 41%, коэффициента отражения коллектора [26], наличия на поверхности Mo/Si зеркал защитных слоев и поляризационных эффектов. Поэтому, для достижения высокой производительности основные усилия разработчиков направлены на увеличение мощности лазерно-плазменного источника излучения на 13.5 нм.

В качестве излучателя выступают высокозарядные ионы олова +7…+10, получаемые при воздействии мощного CO2 лазера, длина волны 10.6 мкм, на капли олова с размерами около 30 мкм. Эффективность такого источника составляет CE = 6%, которая представляет собой долю энергии от энергии лазерного пучка, излученную ионами олова на длине волны 13.5 нм, в спектральной полосе 2%, в телесный угол 2p ср (полупространство) [26]. В русскоязычной версии описание работы такого источника можно найти в [27]. Так как эффективность конверсии уже достигла своего предела, то направление повышения производительности – это увеличение средней мощности лазера. В настоящее время мощность лазера составляет 21.5 кВт [26], обсуждаются планы по ее увеличению до 50 кВт.

Этот источник, обладающий наивысшим коэффициентом конверсии в области 13.5 нм, является основной проблемой EUV литографа от ASML. Во-первых – это огромные габариты установки, лазерная система занимает целый этаж. Во-вторых, из-за использования уникального по параметрам газоразрядного CO2 лазера установка превысила по мощности мегаваттный уровень. В-третьих, серьезнейшие проблемы влечет за собой использование олова в литографическом процессе. Не трудно рассчитать, что за сутки в литограф поступает почти 1 кг олова, которое необходимо вывести из установки, так как даже нанометровые загрязнения зеркал парами олова приведут к полной потери отражательной способности. Решением по защите оптики от загрязнения парами олова стало использование водорода, благодаря образованию летучего соединения SnH4 [26]. Также водород тормозит высокоэнергичные ионы, предотвращая бомбардировку дорогостоящего коллекторного зеркала, находящегося на расстоянии нескольких десятков сантиметров от точки взаимодействия лазерного пучка с оловянной каплей. Тем не менее, защита олова не полная и требуется перестановка коллектора примерно каждые 2 недели [28]. Использование в литографе водорода, да еще активированного ионизацией из-за облучения EUV и DUV излучением, предъявляет жесткие требования к материалам конструкции, сильно ограничивая их номенклатуру или сокращая срок службы. В частности, химическое взаимодействие водорода с pellicle сильно ограничивает его время жизни примерно 2-мя неделями [29, 30]. Есть большая вероятность, что при повреждении pellicle, происходит повреждение и маски. Наконец, в-четвертых, 10% мощности, т. е. около 2 кВт, лазерного излучения с длиной волны λ = 10.6 мкм рассеиваются на плазме и падают на коллектор [31]. Для предотвращения распространения этого излучения дальше в литографа коллектор покрывается дифракционной решеткой, в нулевом порядке отражающим EUV излучение и в дифракционных порядках 10.6 мкм [32]. Использование хорошо проводящей тепло металлической подложки для коллектора, а также потери, связанные с формированием дифракционной структуры на подложке, приводят к низкой на уровне 41% эффективности коллектора, тем самым еще сильнее понижая эффективность оптической системы.

В совокупности, из-за описанных проблем из 6% конверсионной эффективности источника до промежуточного фокуса доходит менее 1.2%. К этому выводу легко прийти, разделив мощность EUV излучения в промежуточном фокусе 250 Вт на мощность лазерного пучка 21.5 кВт [26]. Эти потери – результат мероприятий, защищающих оптику и другие элементы литографа от паров и высокоэнергичных ионов олова, и радиационных нагрузок от рассеяния лазерного излучения.

Очень короткое время жизни коллектора и маски, стоимость каждого в районе миллиона евро, огромное потребление электрической энергии делает крайне большой стоимость пользования этого вида оборудования.

В целом, развиваемая ASML концепция привела к огромной стоимости оборудования. По разным данным цена выпускаемых в настоящее время литографов серии NXE:3400C и NXE:3600D превышает 300 млн евро, а нового поколения EXE:5000 c числовой апертурой NA = 0.55 и разрешением 8 нм в разы больше. Тем не менее, топ менеджеры компаний TSMC, Samsung и Intel подтверждают, что, несмотря на все эти затраты, EUV литография экономически эффективна. Однако надо учитывать, что эта эффективность обусловлена гигантским рынком чипов, занимаемым этими компаниями, по сути монополистов. При уменьшении рынка, эта эффективность резко упадет. Косвенным подтверждением этого вывода является и то, что кроме этих гигантов, а также американской Micron Technology и корейской SK Hynix Korea, входящих в 5-топ производителей чипов в мире, такое оборудование больше никто не приобрел и, согласно прогнозам ASML на ближайшее будущее, и не планирует.

Исходя из объемов рынка, можно сделать вывод, что с экономической точки зрения повторять проект ASML для России бессмысленно. Аргумент, что цена для спецтехники не столь принципиальна, на наш взгляд, не корректен, так как даже при классической DUV литографии стоимость чипа изменяется на 5 порядков величины, в зависимости от серийности производства и при мелкосерийном производстве она может и превысить стоимость спецтехники, для которой этот чип производился.

Невероятные технологические трудности создания EUV литографа привели к тому, что даже США и Япония, стартовав в этой гонке первыми, не смогли довести свои EUV программы до конкурентного продукта, и ограничились лишь отдельными компонентами для ASML. Причиной их неудачи, и в противовес, успеха ASML, на наш взгляд, является то, что ASML смогла интегрировать в своем продукте лучшие мировые достижения по всем основным компонентам. Этого они достигли за счет беспрецедентной открытости проекта. На основании этого можно сделать вывод, что и в технологическом смысле одной стране маловероятно повторить проект ASML. Поэтому для автора, очевидно, что для отечественной программы необходим альтернативный подход к решению проблемы высокопроизводительной рентгеновской литографии, обеспечивающий сопоставимые технические характеристики, и при этом делающий эту технологию более доступной по цене оборудования и стоимости эксплуатации. В случае реализации этой концепции, литографы будут востребованы не только в РФ, но и за рубежом, так как они станут доступными для компаний, не входящих в топ-5.

3. Новая прадигма развития рентгеновской литографии

Последние достижения ИФМ РАН в области многослойной рентгеновской оптики и лазерно-плазменного источника на основе ксенона на длину волны 11.2 нм позволили по-новому взглянуть на развитие рентгеновской литографии с точки зрения удешевления стоимости и дальнейшей эксплуатации литографа с сохранением минимальных технологических норм на уровне ASML, ценой некоторого уменьшения его производительности. Достижение такой цели предполагает кратное снижение энергетики ЛПИ. Если литограф от ASML – это установка мегаваттного уровня, то концепт от ИФМ РАН – порядка 100 кВт. Будут кардинально уменьшены габаритные размеры, повышен жизненный цикл лазера, коллектора и других элементов литографа. Появится возможность повышения эффективности рентгенооптической схемы и упрощения проекционной схемы.

В основе предложенной концепции лежат следующие инновации.

  1. Уменьшение рабочей длины волны с 13.5 нм до 11.2 нм приведет, согласно критерию Рэллея, к увеличению разрешающей способности на 20%. Это позволит для достижения одинакового разрешения уменьшить числовую апертуру объектива с NA13.5 = 0.33 до NA11.2 = 0.27. Следствием относительно небольшого изменения NA является уменьшение габаритных размеров и существенное упрощение изготовления зеркал. Упрощение изготовления зеркал связано с тем, что зеркала для проекционной схемы литографа имеют асферичность 8–12 порядков, а уменьшение числовой апертуры зеркала на 20% приведет к уменьшению асферичности высоких порядков в 4–9 раз. Поэтому, можно ожидать уменьшения габаритных размеров и заметного удешевления производства объектива. Примерно в 1.4 раза повысится эффективность оптической системы за счет применение многослойных Ru/Be зеркал вместо Mo/Si.
  2. Замена оловянного лазерно-плазменного источника на ксеноновый на порядки уменьшает загрязнения оптических элементов продуктами разлета материала источника. В разы возрастет время жизни дорогостоящих коллектора и pellicle, а значит и масок. Все это снижает затраты как на изготовление вакуумных элементов и систем, и литографа в целом, так и стоимость эксплуатации. Ксенон представляет собой инертный газ и не может загрязнять оптику. Основными источниками негативного воздействия на оптику в случае ксенона являются высокоэнергичные ионы, способные распылять зеркала, и продукты эрозии сопла из-за бомбардировки ударными волнами и быстрыми ионами, рожденными в лазерном разряде (о пути решения этих проблем будет сказано ниже). При недостаточной защите рассеянными потоками рабочего газ, для подавления ионных потоков будет использоваться инертный или слабоактивный газ.

Одной из проблемных частей оловянного ЛПИ является высокочастотный генератор капель олова, сопло которого работает при температуре, превышающей температуру плавления олова. Помимо проблемы надежности работы генератора капель [33], в этом ЛПИ требуется сложная инфраструктура по синхронизации работы всех систем, обеспечивающая генерацию и предварительное испарение капель, и последующий их нагрев основным импульсом лазерного излучения. В случае ксенонового источника конструкция генератора капель упрощается до примитивного сверхзвукового сопла с непрерывной подачей газа. Это решение устраняет ряд сложных и дорогостоящих систем ЛПИ, увеличивает ресурс его работы. Это снижает как стоимость ЛПИ, так и эксплуатационные затраты.

Вместо крупногабаритного газоразрядного СО2 лазера используется надежный, малогабаритный и энергосберегающий твердотельный дисковый лазер с диодной накачкой. Рабочая длина волны лазера 1.03 мкм. Важно отметить, что в России в настоящее время нет даже экспериментального прототипа импульсного CО2 лазера с близкими к ASML параметрами, в то время, как имеются передовые разработки в области мощных твердотельных гибридных лазерах, о чем будет сказано ниже.

Меньшие средняя мощность и рассеянное плазмой лазерное излучение, а также высокие коэффициенты поглощения тонких металлических пленок излучения с длиной волны 1 мкм, заметно уменьшают радиационную нагрузку на коллектор, а также позволяют использовать обычные фильтры для подавления длинноволнового излучения вместо дифракционных структур на коллекторе. Это существенно упрощает конструкцию, удешевляет коллектор и повышает его эффективность с ∼40%, как у ASML литографа, до ∼65%. Использование фильтра вместо дифракционной структуры на коллекторе, также уменьшает тепловые нагрузки на последующие элементы проекционной схемы, так как они эффективно поглощают излучение в широком диапазоне длин волн. В противовес, дифракционный фильтр на коллекторе фактически защищает только от излучения с длиной волны 10.6 мкм.

  1. Переход к длине волны 11.2 нм, потенциально, открывает возможность использования резистов на основе кремния, в частности, кремнийорганических. Наибольшей чувствительностью при высоких параметрах передачи рисунка в EUV литографии обладают органические резисты. Однако по мере уменьшения топологических размеров необходимо уменьшение их толщины. В настоящее время толщина слоя резиста составляет десятки нанометров. Однако разработчики столкнулись с проблемой низкого поглощения в этих слоях. Так, слой ПММА толщиной 50 нм (аспектное отношение для hp=13 нм около четырех) поглотит только 23% излучения. Это одна из причин, понижающая в разы производительность EUV литографа. ASML приводит дозы при получении наноструктур на уровне 30 мДж/см2, в то время, как чувствительность химически усиленных резистов на основе ПММА существенно выше, а дробовой шум перестает заметным образом влиять на LER (line edge roughness) при поглощенной дозе на уровне 10 мДж/см2 [34]. Для повышения поглощения излучения, фоторезист наполняются металлическими нанокластерами, уменьшающими длину пробега фотонов в резисте. Однако использование таких частиц ухудшает шероховатость края формируемых в резисте наноструктур.

Длина волны 11.2 нм находится за L-краем поглощения Si (λL = 12.4 нм) и в этом случае поглощение кремния становится даже выше, чем у многих металлов. Поэтому, использование кремнийорганических резистов может заметно повысить их чувствительность без ухудшения шероховатости LER. Простейшие расчеты показывают, что хорошо известный электронный резист HSQ, имеющий в своей формуле всего один атом Si, обеспечивает большее поглощение на длине волны 11.2 нм, чем ПММА на 13.5 нм. Можно ожидать, что увеличение доли кремния в резисте приведет к заметному увеличению эффективности резиста на длине волны 11.2 нм по сравнению с 13.5 нм.

В табл. 1 приводится сравнение основных параметров литографа TWINSCAN NXE:3600D с ожидаемыми параметрами литографа, разработанного в ИФМ РАН. Ряд «внутренних» параметров литографа от ASML были восстановлены автором из анализа различных источников, тем не менее, основные взяты из сайта ASML. При расчете производительности литографического процесса от ИФМ РАН были сделаны консервативные оценки.

 

Таблица 1. Сравнение основных параметров литографа TWINSCAN NXE:3600D с ожидаемыми параметрами литографа, разработанного в рамках концепции от ИФМ РАН. Пояснения чисел со звездочками дано в тексте статьи

Параметр

ASML

ИФМ РАН

Длина волны, нм

13.5

11.2

Числовая апертура объектива, NA

0.33

0.27

Пространственное разрешение, нм

13

13

Количество зеркал в объективе, шт.

6

6

Количество зеркал в осветителе, шт.

4

4 (3)

Коэффициент отражения зеркала, ٪

69

72

Коэффициент отражения маски, ٪

66

70

Коэффициент отражения коллектора, ٪

41

65

Пропускание газодинамической защиты, ٪

57

80*

Поглощение в резисте, относительные единицы

1

1.2**

Мощность лазера, кВт

21.5

3.6

Конверсионная эффективность источника, ٪

6

2-4***

Производительность, пластин ∅300 мм в час

160

60

 

Несколько комментариев к таблице. Благодаря существенно меньшим ионным/тепловым/радиационным нагрузкам и отсутствию водорода, за счет сегментирования зеркала и электронных приводов сегментов к функционалу коллектора, как сборщика рентгеновского излучения, можно добавить функционал формирователя заданного распределения света, падающего на маску. В этом случае в осветительной системе можно уменьшить количество зеркал с 4 до 3, что повысит производительность примерно на 30%. Однако в расчете производительности эта возможность не учитывалась.

Точно значения коэффициентов отражения Mo/Si зеркал в реальных объективах в литературе не нашлось, только для маски, 65%. С нашей точки зрения значение 69%, близкое к рекордному значению 70.1%, представляется верхней оценкой, так как эксплуатация зеркал в водородной среде предполагает на поверхности дополнительный защитный слой Ru, который снижает коэффициент отражения. В случае Ru/Be зеркал Ru входит как составной элемент, таким образом, не снижая коэффициент отражения зеркала.

Пропускание газодинамической системы защиты ASML литографа было рассчитано из приведенных на сайте производителя среднего значения коэффициента отражения коллектора, коэффициента конверсии, телесного угла коллектора, средней мощности лазера и EUV мощности в промежуточном фокусе. 80% со звездочкой в нашем случае – расчетная величина с учетом поглощения в ксеноне.

Эффективность конверсии 2–4% с двумя звездочками – это экспериментальные данные разных авторов. Теория показывает, что можно ожидать 7.5%, что даже выше, чем у оловянного источника на 13.5 нм. Однако экспериментального подтверждения этому пока нет. В расчете производительности был принят коэффициент конверсии 3%.

Увеличенная в 1.2 раза эффективность резиста на длине волны 11.2 нм по сравнению с 13.5 нм – расчетная величина с учетом сильного поглощения кремния на этой длине волны.

Как видно из таблицы, достаточно консервативные оценки показывают, что при средней мощности лазера 3.6 кВт, ожидаемая производительность на длине волны 11.2 нм будет меньше примерно в 2.7 раза, чем у ASML литографа. Для фабрик, у которых рынок продукции меньше, чем у топ-5 компаний, этой величины вполне достаточно, с учетом того, что из всех слоев на чипе рентгеновская литография используется только при формировании нескольких критических слоев.

Таким образом, успешная реализация данной концепции позволит достичь целей повышения доступности рентгеновской литографии для пользователей без ущерба разрешающей способности.

4. Обоснование реализуемости предлагаемой концепции рентгеновской литографии

Для успешной реализации проекта в ИФМ РАН создан научно-технологический задел мирового уровня.

Для изготовления рентгеновской оптики, в том числе и асферической, разработана технология двухстадийного формообразования. На первом этапе методом классической глубокой шлифовки-полировки с использованием уникальных полирующих составов [35] изготавливаются плоские или сферические заготовки. Целевыми параметрами завершения этой стадии обработки является достижение эффективной шероховатости в диапазоне пространственных частот 0.025–60 мкм-1 на уровне 0.2 нм и точности формы по параметру среднеквадратического отклонения (СКО) менее 10 нм. На следующем этапе методом ионно-пучкового травления осуществляется финишная полировка, асферизация и коррекция локальных ошибок. На этой стадии достигается эффективная шероховатость на уровне 0.1 нм, а точность формы СКО = 0.6–0.8 нм или λ/18–λ/14, для длины волны λ = 11.2 нм. Эти значения удовлетворяют критерию Марешаля для достижения дифракционного качества получаемых изображений. Подробнее о развитых методах безэталонной метрологии аберраций оптических элементов и систем, шероховатости, а также методах и оборудовании для изготовления высокоточных подложек можно найти в работах [37–40].

Для напыления высокоотражающих многослойных рентгеновских зеркал, в том числе и нормального падения, в ИФМ РАН действуют 8 технологических установок, использующих магнетронное и ионно-пучковое распыление материалов. В том числе 2 установки работают в специализированной бериллиевой лаборатории. В недавней работе сообщалось, что в ИФМ РАН была разработана технология напыления Ru/Be зеркал с коэффициентами отражения на длине волны 11.4 нм до 72.2% [41], что заметно выше рекордных 70.15% Mo/Si зеркал, используемых в установке ASML. В составе двенадцати-зеркальной оптической системы литографа эта оптика по эффективности превосходит Mo/Si-оптику в 1.4 раза.

Исследования по ксеноновому источнику рентгеновского излучения были начаты почти 10 лет назад. Основные результаты опубликованны в работах [42–45]. Экспериментальные значения коэффициента конверсии CE на длине волны 11.2 нм варьируются в пределах 2–4%. Причиной такого разброса является сильное поглощение рентгеновского излучения в ксеноне. Эта проблема будет решаться за счет наращивания откачных мощностей вакуумной камеры источника, а также оптимизации параметров струи в области взаимодействия с лазерным излучением.

Перспективы получения конверсионной эффективности около 4% подтверждаются также работами группы С. Калмыкова из ФТИ им. А. Ф. Иоффе. Они приводят значение 3.8% [46]. По сравнению с эффективностью оловянного источника 6% эта величина кажется меньше. Однако, как отмечалось выше, из-за потерь в системах защиты оптических элементов от загрязнения оловом, и необходимости выдерживать коллектором киловаттных радиационных нагрузок лазерного излучения с длиной волны 10.6 мкм, эффективность этого источника находится на уровне 1%. Таким образом, есть серьезные основания ожидать, что эффективность использования ксенонового источника будет не ниже.

Важно отметить, что приведенные выше коэффициенты конверсии на длине волны 11.2 нм были получены с использованием твердотельного лазера с длиной волны 1.06 мкм. Для литографических целей нужен импульсно-периодический лазер с энергией в импульсе десятки-сотни мДж, длительность несколько наносекунд и средней мощностью от киловатта. В ИПФ РАН имеются существенные заделы в области создания гибридных Yb: YAG лазеров с дисковым усилителем на длину волны 1.03 мкм. В частности, разработан экспериментальный образец лазера с близкими параметрами импульсов и средней мощностью около 1 кВт [47]. Разработчики уверены в возможности масштабирования средней мощности до 2.4–3.6 кВт.

К значимым заделам в области источников рентгеновского излучения также следует отнести самые современные диагностики, обеспечивающие измерение основных параметров источника: мощность рентгеновского излучения в спектральной полосе пропускания литографа и вне полосы в EUV диапазоне, размер источника на рабочей длине волны. Для этого в ИФМ РАН разработан ряд приборов. В частности, абсолютно калиброванный по чувствительности и длине волны прибор для измерения мощности EUV излучения в 2% спектральной полосе был использован в ASML в качестве вторичного эталона для сравнения источников от различных разработчиков [48]. Однозеркальный спектрометр для абсолютных измерений рентгеновского и EUV излучения позволяет измерять эмиссионные характеристики источника в диапазоне 3–30 нм [49]. Для измерений размеров источника излучения на длине волны 11.2 нм создан калиброванный по длине волны и чувствительности микроскоп на основе объектива Шварцшильда, обеспечивающий микронное разрешение [50].

В области резистов для 13.5 нм совместно ИФМ РАН и Институтом химии ННГУ им. Н. И. Лобачевского, также были получены значимые заделы. На базе химически усиленных ПММА резистов были достигнуты высокие 5–15 мДж чувствительности резистов при контрасте больше 3 [51, 52]. При переходе на резисты на основе Si можно ожидать увеличения чувствительности за счет их большего поглощения на длине волны 11.2 нм по сравнению с 13.5 нм. В частности, расчеты показываю, что уже применяемый в электронной литографии резист силсесквиоксановый водород (HSQ), обеспечивающий рисование линий менее 10 нм [53], имея в своей молекулярной формуле всего один атом Si, и плотность существенно меньше, чем у ПММА, тем не менее, на длине волны 11.2 нм поглощает больше, чем ПММА на длине волны 13.5 нм. Это позволяет надеяться на существенный прогресс в резистах на основе кремния на длину волны 11.2 нм.

В ИФМ РАН имеются значимые заделы в области масок для EUV литографии [54] и свободновисящих многослойных пленок для защиты масок (pellicle) и оптики от загрязнений продуктами разложения резистов (GDL), фильтров спектральной очистки (SPF) [55–57]. В частности, экспериментальные литографы компании ASML оснащались этой свободновисящей оптикой. Получено около 20 совместных патентов. В настоящее время, эта оптика востребована, в частности, в странах Юго-Восточной Азии.

При разработке методов изготовления и на стадии серийного производства важной является диагностика дефектов масок на рабочей длине волны. Поверхностных методов диагностики, например, с использованием атомно-силовой или электронной микроскопии недостаточно, так как маски для EUV/рентгеновского излучения отражательного типа и в отражении участвует весь объем многослойной структуры. Внутренние дефекты, даже в одном слое, могут локально привести к потере отражения. При этом на поверхности этот дефект может быть не заметен.

Для решения этой проблемы в ИФМ РАН создан прототип такого микроскопа, работающий на длине волны 13.8 нм [58], обеспечивающий разрешение 140 нм, ограниченное размером пикселя видеокамеры. Аберрации проекционного объектива обеспечивают разрешение на уровне 30 нм, что вполне достаточно для инспекции масок.

В ИФМ РАН имеются заделы в области сканирующих систем и автофокуса, что позволило ранее создать первый в России макет литографа на длину волны 13.5 нм [12]. Главным отличием систем сканирования современных нанолитографов от традиционных движущихся платформ является использование принципов левитации – отсутствие контактирующих подвижных элементов [19]. Это новый подход для понятия «точная механика». Если раньше основной упор делался на высокую точность изготовления деталей и их сборку, то в этих подвижках упор переносится на точное позиционирование, базирующееся на систему управления движущейся платформы с использованием специально расчитанных и изготовленых электромоторов, системы управления в реальном времени большим числом обмоток и высокоточные, на первом этапе интерферометрические, системы контроля положения платформы в пространстве. В России имеются заделы и научные школы в областях, как электропривода, так и интерферометрических систем, поэтому и эта проблема может быть решена.

5. Дорожная карта выполнения проекта

По аналогии с опытом развития мировой EUV литографии реализация предложенной концепции предполагает 3 этапа. Первый этап – это НИР с элементами ОКР. Целями данного этапа являются: доработка, а там где научно-технологические заделы минимальны, фактически создание критических технологий рентгеновской литографии; выявление основных проблем по всем ключевым технологиям и выработка предложений по коррекции технических решений, формирование кооперационных связей и списка оборудования необходимого для решения задач второго этапа; создание экспериментального образца литографа для тестирования всех элементов литографа в реальном технологическом процессе, разработка резистов и отработка технологии формирования наноструктур методом рентгеновской литографии.

Целями второго этапа являются: создание опытного образца высокопроизводительного литографа с шестизеркальным проекционным объективом, мульти-киловаттной лазерной системой, системой сканирования для пластин ∅200/300 мм; интеграция рентгеновской литографии в высокопроизводительную линейку производства передовых отечественных чипов; создание кооперационных цепочек для производства основных элементов и систем литографа.

Результатами этапа станут создание опытного образца литографа с производительность более 60 пластин ∅200 мм; интеграция рентгеновской литографии в технологическую цепочку производства чипов на передовой отечественной фабрике, позволяющие использовать эту технологию при производстве критических, с минимальными топологическими нормами, слоев; формулирование технического задания и технико-экономического обоснования на опытный образец литографа для индустриальных применений.

Третий этап предполагает создание литографа, адаптированного к эксплуатации на фабрике, с производительность больше 60 пластин диаметром 300 мм в час, организацию серийного производства литографов в России.

Важным для дальнейших перспектив этой передовой технологии литографии является создание в России научно-технического центра для исследований и разработок в области рентгеновской литографии.

Выводы

EUV литография на длине волны 13.5 нм, несмотря на свою молодость, в индустрии применяется с конца 2018 г., тем не менее, стала одной из ключевых технологий при производстве чипов с передовыми технологическими нормами. Производство EUV литографов и сопутствующего оборудование уже обеспечивает около 50% выручки компании ASML – мирового лидера в производстве литографического оборудования и единственного для EUV литографии. Однако, развиваемая ими концепция достижения максимальной производительности литографического процесса привела к экстремально высокой стоимости оборудования и его эксплуатации. Это резко ограничило число компаний, способных использовать эту технологию. Технически повторить разработку ASML представляется маловероятным, да и использование подобного оборудования для отечественного рынка чипов с его ограниченным объемом представляется не целесообразным.

В данной работе предлагается новая концепция рентгеновской литографии, основанная на ряде инновационных решениях, которые приведут к существенному снижению энергетики литографа, габаритных размеров, стоимости оборудования и его пользования при сохранении пространственного разрешения и производительности на уровне литографа от компании ASML. Приводится обоснование реализуемости предложенной концепции, которая базируется на почти 30-ти летней вовлеченности коллектива ИФМ РАН в разработку по EUV литографии, а также на результатах последних лет в области источника рентгеновского излучения, оптики дифракционного качества и многослойных рентгеновских зеркал на длину волны 11.2 нм. На основе предложенной концепции разработана дорожной карта развития рентгеновской литографии в РФ.

Реализация предлагаемой концепция и дорожной карты развития рентгеновской литографии за счет новых решений позволит в разумные сроки создать в РФ собственные современные нанолитографические установки.

Финансирование

Работа выполнена в рамках государственного задания FFUF-2021–0022.

Конфликт интересов

Автор заявляет об отсутствии конфликта интересов.

Благодарности

Автор посвящает эту статью ушедшему в 2024 г. из жизни д. ф.- м. н., член.-корр. РАН Салащенко Н. Н., основателю многослойной рентгеновской оптики в стране и отдавшему много сил для развития рентгеновской литографии. Также выражаю благодарность Красильнику З. Ф. за поддержку темы рентгеновской литографии и активные усилия по продвижению данного проекта.

×

About the authors

N. I. Chkhalo

Federal State Budgetary Scientific Institution “Federal Research Center Institute of Applied Physics named after. A. V. Gaponova-Grekhov Russian Academy of Sciences”

Author for correspondence.
Email: chkhalo@ipmras.ru

Institute of Physics of Microstructures of the Russian Academy of Sciences

Russian Federation, Nizhny Novgorod region

References

  1. Reynolds G.O. A concept for a high resolution, optical lithographic system for producing one-half micron linewidths // Proc. SPIE. 1986. V. 633. P. 228–238.
  2. Kim K., Chung U-In., Park Y., Lee J., Yeo L., Kim D. Extending the DRAM and FLASH memory technologies to 10 nm and beyond // Optical Microlithography XXV. Proc. SPIE. 2012. V. 8326. P. 46–56. https://doi.org/10.1117/12.920053.
  3. Tritchkov A., Jeong S., and C. Kenyon C. Lithography Enabling for the 65 nm node gate layer patterning with Alternating PSM // Proc. SPIE. 2005. V. 5754. P. 215–225.
  4. Hazelton A.J., Wakamoto S., Hirukawa S., McCallum M., Magome N., Ishikawa J., Lapeyre C., Guilmeau I., Barnola S., and S. Gaugiran S. Double patterning requirements for optical lithography and prospects for optical extension with double patterning // Proc. SPIE. 2008. V. 6924. P. 69240R.
  5. Starikov A. Use of a single size square serif for variable print bias compensation in microlithography: method, design and practice // Proc. SPIE. 1989. V. 1088. P. 34–46.
  6. Allenet T., Vockenhuber M., Yeh C.K., Santaclara J.G., van Lent-Protasov L., Ekinci Y., Kazazis D. EUV resist screening update: progress towards High-NA lithography // Advances in Patterning Materials and Processes XXXIX, edited by Daniel P. Sanders, Douglas Guerrero, Proc. SPIE. 2022. V. 12055. P. 120550F.
  7. Duv lithography systems twinscan nxt: 2000i; https://www.asml.com/en/products/duv-lithography-systems/twinscan-nxt2000i
  8. Kinoshita H., Kurihara K., Ishii Y., Torii Y. // Journal of Vacuum Science & Technology B: Microelectronics Processing and Phenomena. 1989. V. 7. № 6. P. 1648–1651. https://doi.org/10.1116/1.584507
  9. Tichenor D.A., Ray-Chaudhuri A.K., Replogle W.C., Stulen R.H., Kubiak G.D., Rockett P.D., Klebanoff L.E., Jefferson K.J., Leung A.H., Wronosky J.B., Hale L.C., Chapman H.N., Taylor J.S., Folta J.A., Montcalm C., Soufli R., Spiller E.A., Blaedel K.L., Sommargren G.E., Sweeney D.W., Naulleau P.P., Goldberg K.A., Gullikson E.M., Bokor J., Batson P.J., Attwood Jr.D.T., Jackson K.H., Hector S.D., Gwyn C.W., Yan P.Y. System integration and performance of the EUV engineering test stand // Proc. SPIE. 2001. V. 4343. P. 19.
  10. Uzawa S., KuboH., Miwa Y., Tsuji T., Morishima H. Path to the HVM in EUVL through the development and evaluation of the SFET // Emerging Lithographic Technologies XI. – SPIE. 2007. V. 6517. P. 72–81. https://doi.org/10.1117/12.711650
  11. Meiling H., Boon E., Buzing N., Cummings K., Frijns O., Galloway J., Goethals M., Harned N., Hultermans B., de Jonge R., Kessels B., Kurz P., Lok S., Lowisch M., Mallman J., Pierson B., Ronse K., Ryan J., Smitt-Weaver E., Tittnich M., Wagner C., van Dijk A., Zimmermann J. Performance of the full field EUV systems // Emerging Lithographic Technologies XII. – SPIE. 2008. V. 6921. P. 171–183. https://doi.org/10.1117/12.773259
  12. Volgunov D G., Zabrodin I.G., Zakalov B.A., Zuev S.Yu., Kas’kov I.A., Kluenkov E.B., Toropov M.N., and Chkhalo N.I. A Stand for a Projection EUV Nanolithographer–Multiplicator with a Design Resolution of 30 nm // Bulletin of the Russian Academy of Sciences: Physics. 2011. V. 75. № 1. P. 49–52.
  13. Glatzel H., Ashworth D., Bremer M., Chin R., Cummings K., Girard L., Goldstein M., Gullikson E., Hudyma R., Kennon J., Kestner B., Marchetti L., Naulleau P., Soufli R., Spiller E. Projection Optics for Extreme Ultraviolet Lithography (EUVL) Microfield Exposure Tools (METs) with a Numerical Aperture of 0.5 // Proc. SPIE Advanced Lithography. 2013. V. 8679. P. 42.
  14. Watanabe T., Harada T., Yamakawa S. Fundamental research activities on EUV lithography at NewSUBARU synchrotron light facility // Proc. SPIE. 2021. V. 11908. P. 1190807. doi: 10.1117/12.2600896
  15. Optical and EUV Nanolithography XXXVI, edited by Anna Lio // Proc. SPIE. 2023. V. 12494. P. 1249406.
  16. Макушкин М., Мартынов В. Нужен ли России самодельный EUV-нанолитограф // Фотоника. 2010. № 4. С. 6–13.
  17. Wu B. and Kumar A. Extreme ultraviolet lithography and three dimensional integrated circuits-A review // Appl. Phys. Rew. 2014. V. 1. P. 011104.
  18. van de Kerkhof M., Jasper H., Levasier L., Peeters R., van Es R., Bosker J.W., Zdravkov A., Lenderink E., Evangelista F., Broman P., Bilski B., Last T. Enabling sub-10nm node lithography: presenting the NXE: 3400B EUV scanner // Proc. SPIE. 2017. V. 10143. P. 101430D.
  19. Levinson H. Principles of Lithography, 4th Edition. SPIE. 2019. P. 524. https://www.atomic-energy.ru/news/2023/03/15/133578
  20. Chkhalo N.I., Durov K.V., Nechay A.N., Perekalov A.A., Polkovnikov V.N., and Salashchenko N.N. On the Prospects of Lithography in the Region of Wavelengths Shorter than 13.5 nm // Journal of Surface Investigation: X-ray, Synchrotron and Neutron Techniques. 2023. V. 17. No 1. P. S226–S232.
  21. Chkhalo N.I., and Salashchenko N.N. Current State and Prospects for the Development of X-Ray Lithography // Journal of Surface Investigation: X-ray, Synchrotron and Neutron Techniques. 2023. V. 17. No 1. P. 307–316. doi: 10.1134/S1027451022060349
  22. Wood O., Arnold J., Brunner T., Burkhardt M. Insertion strategy for EUV lithography // Proc. of SPIE. 2012. V. 8322. P. 832203. doi: 10.1117/12.916292
  23. Yakshin A.E., van de Kruijs R.W.E., Nedelcu I., Zoethout E., Louis E., Bijkerk F., Enkisch H., and Müllender S. Enhanced reflectance of interface engineered Mo/Si multilayers produced by thermal particle deposition // Proc. SPIE. 2007. V. 6517. P. 65170I.
  24. Chkhalo N., Gusev S., Nechay A., Pariev D., Polkovnikov V., Salashchenko N., Schäfers F., Sertsu M., Sokolov A., Svechnikov M., and Tatarsky D. High reflective Mo/Be/Si multilayers for the EUV lithography // Optics Letters. 2017. V. 42. Iss. 24. P. 5070–5073. https://doi.org/10.1364/OL.42.005070
  25. Fomenkov I., Brandt D., Ershov A., Schafgans A., Tao Y., Vaschenko G., Rokitski S., Kats M., Vargas M., Purvis M., Rafac R., La Fontaine B., De Dea S., LaForge A., Stewart J., Chang S., Graham M., Riggs D., Taylor T., Abraham M., and Brown D. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling // Advanced Optical Technologies. 2017. V. 6. No 3–4. P. 173–186. https://doi.org/10.1515/aot-2017–0029
  26. Абраменко Д.Б., Анциферов П.С., Астахов Д.И., Виноходов А.Ю., Вичев И.Ю., Гаязов Р.Р., Грушин А.С., Дорохин Л.А., Иванов В.В., Ким Д.А., Кошелев К.Н., Крайнов П.В., Кривокорытов М.С. Кривцун В.М., Лакатош Б.В., Лаш А.А., Медведев В.В., Рябцев А.Н., Сидельников Ю.В., Снегирев Е.П., Соломянная А.Д., Спиридонов М.В., Цыгвинцев И.П., Якушев О.Ф., Якушкин А.А. Плазменные источники экстремального ультрафиолетового излучения для литографии и сопутствующих технологических процессов (к 50-летию Института спектроскопии РАН // УФН. 2019. Т. 189. № 3. С. 323–334. doi: 10.3367/UFNr.2018.06.038447
  27. Brandt D.C., Fomenkov I.V., Farrar N.R., La Fontaine B., Myers D.W., Brown D.J., Ershov A.I., Bowering N.R., Riggs D.J., Rafac R.J., De Dea S., Peeters R., Meiling H., Hamed N., Smith D., Pirati A., Kazinczi R. LPP EUV source readiness for NXE3300 B // Proc. of SPIE, Extreme Ultraviolet (EUV) Lithography V’, Eds. by O. R. Wood II and E. M. Panning. 2014. V. 9048. P. 90480C-1.
  28. van de Kerkhof M., Jasper H., Levasier L., Peeters R., van Es R., Bosker J.W., Zdravkov A., Lenderink E., Evangelista F., Broman P., Bilski B., Last T. Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner // Proc. SPIE. 2017. V. 10143. P. 101430D.
  29. Зуев С.Ю., Лопатин А.Я., Лучин В.И., Салащенко Н.Н., Цыбин Н.Н., Чхало Н.И. Защитные свободновисящие пленки для установок проекционной литографии экстремального ультрафиолетового диапазона // Микроэлектроника. 2023. Т. 52. № 5. С. 354–366. EDN: https://elibrary.ru/QAIWGO, doi: 10.31857/S0544126923700539
  30. Nan Lin, Yunyi Chen, Xin Wei, Wenhe Yang and Yuxin Leng. Spectral purity systems applied for Laser-produced plasma Extreme UltraViolet (LPP-EUV) lithography source: a review // High Power Laser Science and Engineering. 2023. V. 11. No 5. P. 05000e64.
  31. Platonov Y., Kriese M., Crucet R., Li Y., Martynov V., Jiang L., Rodriguez J., Mueller U., Daniel J., Khatri S., Magruder A., Grantham S., Tarrio C., Lucatorto T.B. Collector development with IR suppression and EUVL optics refurbishment at RIT // https://www.euvlitho.com/2013/S30.pdf (Dublin, November 3–7, 2013).
  32. Pirati A., Peeters R., Smith D., Lok S., van Noordenburg M., van Es R., Verhoeven E., Meijer H., Minnaert A., van der Horst J.W., Meiling H., Mallmann J., Wagner C., Stoeldraijer J., Fisser G., Finders J., Zoldesi C., Stamm U., Boom H., Brandt D., Brown D., Fomenkov I., Purvis M. EUV lithography performance for manufacturing: status and outlook // Proc. of SPIE, Extreme Ultraviolet (EUV) Lithography VII, Eds. by E. M. Panning and K. A. Goldberg. 2016. V. 9776. P. 97760A-1. https://doi.org/10.1117/12.2220423
  33. Naulleau P.P., Niakoula D., and Zhang G. System-level lineedge roughness limits in extreme ultraviolet lithography // J. Vac. Sci. Technol. B. 2008. V. 26(4). P. 1289–1293.
  34. Торопов М.Н., Ахсахалян А.А., Зорина М.В., Салащенко Н.Н., Чхало Н.И., Токунов Ю.М. Получение гладких высокоточных поверхностей методом механического притира // Журнал технической физики. 2020. Т. 90. Вып. 11. С. 1958–1964.
  35. Чхало Н.И., Малышев И.В., Пестов А.Е., Полковников В.Н., Салащенко Н.Н., Торопов М.Н. Рентгеновская оптика дифракционного качества: технология, метрология, применения // УФН. 2020. Т. 190 (1). С. 74–91. https://doi.org/10.3367/UFNr.2019.05.038601
  36. Akhsakhalyan A.A., Chkhalo N.I., Kumar N., Malyshev I.V., Pestov A.E., Salashchenko N.N., Toropov M.N., Ulasevich B.A., Kuzin S.V. Compact high-aperture interferometer with a diffractive reference wave for high-precision referenceless aberration measurements of optical elements and systems // Precision Engineering. 2021. V. 72. P. 330–339. https://doi.org/10.1016/j.precisioneng.2021.05.011
  37. Toropov M., Chkhalo N., Malyshev I., and Salashchenko N. High-aperture low-coherence interferometer with a diffraction reference wave // Optics Letters. 2022. V. 47. No 14. P. 3459–3462. https://doi.org/10.1364/OL.460708
  38. Chkhalo N.I., Salashchenko N.N. and Zorina M.V. Note: A stand on the basis of atomic force microscope to study substrates for imaging optics // Rev. Sci. Instrum. 2015. V. 86. P. 016102. http://dx.doi.org/10.1063/1.4905336.
  39. Chkhalo N.I., Kaskov I.A., Malyshev I.V., Mikhaylenko M.S., Pestov A.E., Polkovnikov V.N., Salashchenko N.N., Toropov M.N., Zabrodin I.G. High-performance facility and techniques for high-precision machining of optical components by ion beams // Precision Engineering. 2017. V. 48. P. 338–346. DOI: http://dx.doi.org/10.1016/j.precisioneng.2017.01.004
  40. Smertin R.M., Chkhalo N.I., Drozdov M.N., Garakhin S.A., Zuev S.Yu., Polkovnikov V.N., Salashchenko N.N., and Yunin P.A. Influence of Mo interlayers on the microstructure of layers and reflective characteristics of Ru/Be multilayer mirros // Opt. Express. 2022. V. 30. No 26. P. 46749–46761.
  41. Chkhalo N.I., Garakhin S.A., Golubev S.V., Lopatin A.Ya., Nechay A.N., Pestov A.E., Salashchenko N.N., Toropov M.N., Tsybin N.N., Vodopyanov A.V., and Yulin S. A double-stream Xe: He jet plasma emission in the vicinity of 6.7 nm // Appl. Phys. Lett. 2018. V. 112. P. 221101. doi: 10.1063/1.5016471
  42. Chkhalo N.I., Garakhin S.A., Lopatin A.Ya., Nechay A.N., Pestov A.E., Polkovnikov V.N., Salashchenko N.N., Tsybin N.N., and Zuev S.Yu. Conversion efficiency of a laser-plasma source based on a Xe jet in the vicinity of a wavelength of 11 nm // AIP Advances. 2018. V. 8. P. 105003. doi: 10.1063/1.5048288
  43. Nechay A.N., Perekalov A.A., Chkhalo N.I., Salashchenko N.N., Korepanov M.A., Koroleva M.R. Emission properties of targets based on shock waves excited by pulsed laser radiation // Optics & Laser Technology. 2021. V. 142. P. 107250. https://doi.org/10.1016/j.optlastec.2021.107250
  44. Guseva V.E., Nechay A.N., Perekalov A.A., Salashchenko N.N., Chkhalo N.I. Investigation of emission spectra of plasma generated by laser pulses on Xe gas-jet targets // Applied Physics B. 2023. V. 129. No 155. https://doi.org/10.1007/s00340–023–08095–8
  45. Kalmykov S.G., Butorin P.S., Sasin M.T. Xe laser-plasma EUV radiation source with a wavelength near 11 nm – Optimization and conversion efficiency // JAP. 2019. V. 126 (10). P. 103301.
  46. Волков М.Р., Кузнецов И.И., Мухин И.Б., Палашов О.В. Дисковые квантроны на основе Yb: YAG для лазеров мультикиловаттной средней мощности // Квантовая электроника. 2019. Т. 49 № 4. С. 354–357.
  47. Chkhalo N.I., Golubev S.V., Mansfeld D., Salashchenko N.N., Sjmaenok L.A., and Vodopyanov A.V. Source for extreme ultraviolet lithography based on plasma sustained by millimeter-wave gyrotron radiation // J. Micro/Nanolith. MEMS MOEMS. 2012. V. 11. P. 021123. doi: 10.1117/1.JMM.11.2.021123
  48. Vodop’yanov A.V., Garakhin S.A., Zabrodin I.G., Zuev S.Yu., Lopatin A.Ya., Nechay A.N., Pestov A.E., Perekalov A.A., Pleshkov R.S., Polkovnikov V.N., Salashchenko N.N., Smertin R.M., Ulasevich B.A., N.I. Chkhalo N.I. Measurements of the absolute intensities of spectral lines of Kr, Ar, and O ions in the wavelength range of 10–18 nm under pulsed laser excitation // Quantum Electronics. 2021. V. 51 (8) P. 700–707.
  49. Антюшин Е.С., Ахсахалян А.А., Зуев С.Ю., Лопатин А.Я., Малышев И.В., Нечай А.Н., Перекалов А.А., Пестов А.Е., Салащенко Н.Н., Торопов М.Н., Уласевич Б.А., Цыбин Н.Н., Чхало Н.И., Соловьев А.А., Стародубцев М.В. Система визуализации плазменного факела бетатронного источника рентгеновского излучения // Журнал технической физики. 2022. Т. 92. № 8. С. 1202–1206. doi: 10.21883/JTF.2022.08.52784.80–22
  50. Bulgakova S.A., Lopatin A.Ya., Luchin V.I., Mazanova L.M., Molodnjakov S.A., Salashchenko N.N. PMMA-based resists for a spectral range near 13 nm // Nucl. Instrum. and Meth. 2000. A448. P. 487–492.
  51. Булгакова С.А., Гурова Д.А., Зайцев С.Д., Куликов Е.Е., Скороходов Е.В., Торопов М.Н., Пестов А.Е., Чхало Н.И., Салащенко Н.Н. Влияние полимерной матрицы и фотогенератора кислоты на литографические свойства химически усиленного фоторезиста // Микроэлектроника. 2014. Т. 43. № 6. С. 419–428.
  52. Min Z., Baoqin C., Changqing X., Ming L., and Jiebing N. Study of process of HSQ in electron beam lithography // 2010 IEEE5th International Conference on Nano/Micro Engineered and Molecular Systems, Xiamen, China. 2010. P. 1021–1024. doi: 10.1109/NEMS.2010.5592584.
  53. Gusev S A., Zuev S.Yu., Klimov A.Yu., Pestov A.E., Polkovnikov V.N., Rogov V.V., Salashchenko N.N., Skorokhodov E.V., Toropov M.N., and Chkhalo N.I. Reflective Mask for Projection Lithography Operating at a Wavelength of 13.5 nm // Journal of Surface Investigation. X-ray, Synchrotron and Neutron Techniques. 2012. V. 6. No 4. P. 568–573.
  54. Chkhalo N.I., Drozdov M.N., Kluenkov E.B., Lopatin A.Ya., Luchin V.I., Salashchenko N.N., Tsybin N.N., Sjmaenok L.A., Banine V.E., Yakunin A.M. Free-standing spectral purity filters for extreme ultraviolet lithography // J. Micro/Nanolith. MEMS MOEMS. 2012. V. 11. No 2. P. 021115 https://doi.org/10.1117/1.JMM.11.2.021115
  55. Chkhalo N.I., Drozdov M.N., Kluenkov E.B., Kuzin S.V., Lopatin A.Ya., Luchin V.I., Salashchenko N.N., Tsybin N.N., Zuev S.Yu. Thin film multilayer filters for solar EUV telescopes // Applied Optics. 2016. V. 55 (17). P. 4683–4690. doi: 10.1364/AO.55.004683
  56. Chkhalo N.I., Kluenkov E.B., Lopatin A.Ya., Luchin V.I., Salashchenko N.N., Sjmaenok L.A., N.N. Tsybin N.N. Study of heat induced changes in elastic properties of multilayer Mo/ZrSi2 membranes // Thin Solid Films. 2017. V. 631. P. 93–98. https://doi.org/10.1016/j.tsf.2017.04.015
  57. Malyshev I.V., Reunov D.G., Chkhalo N.I., Toropov M.N., Pestov A.E., Polkovnikov V.N., Tsybin N.N., Lopatin A.Ya., Chernyshev A.K., Mikhailenko M.S., Smertin R.M., Pleshkov R.S., and Shirokova O.M. High-aperture EUV microscope using multilayer mirrors and a 3D reconstruction algorithm based on z-tomography // Optics Express. 2022. V. 30. No 26. P. 47567–47586. https://doi.org/10.1364/OE.475032

Supplementary files

Supplementary Files
Action
1. JATS XML

Copyright (c) 2024 Russian Academy of Sciences

Согласие на обработку персональных данных с помощью сервиса «Яндекс.Метрика»

1. Я (далее – «Пользователь» или «Субъект персональных данных»), осуществляя использование сайта https://journals.rcsi.science/ (далее – «Сайт»), подтверждая свою полную дееспособность даю согласие на обработку персональных данных с использованием средств автоматизации Оператору - федеральному государственному бюджетному учреждению «Российский центр научной информации» (РЦНИ), далее – «Оператор», расположенному по адресу: 119991, г. Москва, Ленинский просп., д.32А, со следующими условиями.

2. Категории обрабатываемых данных: файлы «cookies» (куки-файлы). Файлы «cookie» – это небольшой текстовый файл, который веб-сервер может хранить в браузере Пользователя. Данные файлы веб-сервер загружает на устройство Пользователя при посещении им Сайта. При каждом следующем посещении Пользователем Сайта «cookie» файлы отправляются на Сайт Оператора. Данные файлы позволяют Сайту распознавать устройство Пользователя. Содержимое такого файла может как относиться, так и не относиться к персональным данным, в зависимости от того, содержит ли такой файл персональные данные или содержит обезличенные технические данные.

3. Цель обработки персональных данных: анализ пользовательской активности с помощью сервиса «Яндекс.Метрика».

4. Категории субъектов персональных данных: все Пользователи Сайта, которые дали согласие на обработку файлов «cookie».

5. Способы обработки: сбор, запись, систематизация, накопление, хранение, уточнение (обновление, изменение), извлечение, использование, передача (доступ, предоставление), блокирование, удаление, уничтожение персональных данных.

6. Срок обработки и хранения: до получения от Субъекта персональных данных требования о прекращении обработки/отзыва согласия.

7. Способ отзыва: заявление об отзыве в письменном виде путём его направления на адрес электронной почты Оператора: info@rcsi.science или путем письменного обращения по юридическому адресу: 119991, г. Москва, Ленинский просп., д.32А

8. Субъект персональных данных вправе запретить своему оборудованию прием этих данных или ограничить прием этих данных. При отказе от получения таких данных или при ограничении приема данных некоторые функции Сайта могут работать некорректно. Субъект персональных данных обязуется сам настроить свое оборудование таким способом, чтобы оно обеспечивало адекватный его желаниям режим работы и уровень защиты данных файлов «cookie», Оператор не предоставляет технологических и правовых консультаций на темы подобного характера.

9. Порядок уничтожения персональных данных при достижении цели их обработки или при наступлении иных законных оснований определяется Оператором в соответствии с законодательством Российской Федерации.

10. Я согласен/согласна квалифицировать в качестве своей простой электронной подписи под настоящим Согласием и под Политикой обработки персональных данных выполнение мною следующего действия на сайте: https://journals.rcsi.science/ нажатие мною на интерфейсе с текстом: «Сайт использует сервис «Яндекс.Метрика» (который использует файлы «cookie») на элемент с текстом «Принять и продолжить».