Parameters and Composition of Plasma in a Mixture of CF4 + H2 + Ar: Effect of the CF4/H2 Ratio

Cover Page

Cite item

Full Text

Open Access Open Access
Restricted Access Access granted
Restricted Access Subscription Access

Abstract

A study of the electrophysical parameters of plasma and the kinetics of plasma-chemical processes in CF4 + H2 + Ar mixture by varying the CF4/H2 ratio were carried out. Using diagnostic methods and plasma modeling together, it was found that replacing tetrafluoromethane with hydrogen a) leads to a decrease in plasma density and an increase in electronegativity; and b) causes a disproportionately sharp drop in the concentration of fluorine atoms. The reason for the latter effect is an increase in the frequency of death of atoms in reactions of the form CHFx + F → CFx + HF, initiated by heterogeneous recombination according to the CFx + H → CHFx mechanism. A simultaneous increase in the concentration of polymer-forming radicals CHxFy (x + y < 3) indicates an increase in the polymerization load of the plasma on the surfaces in contact with it.

About the authors

A. V. Miakonkikh

Valiev Institute of Physics and Technology of Russian Academy of Sciences

Author for correspondence.
Email: miakonkikh@ftian.ru
Russian Federation, Moscow

V. O. Kuzmenko

Valiev Institute of Physics and Technology of Russian Academy of Sciences

Email: miakonkikh@ftian.ru
Russian Federation, Moscow

A. M. Efremov

Ivanovo State University of Chemistry and Technology

Email: miakonkikh@ftian.ru
Russian Federation, Ivanovo

K. V. Rudenko

Valiev Institute of Physics and Technology of Russian Academy of Sciences

Email: miakonkikh@ftian.ru
Russian Federation, Moscow

References

  1. Wolf S., Tauber R.N. Silicon Processing for the VLSI Era. Volume 1. Process Technology. New York: Lattice Press, 2000.
  2. Nojiri K. Dry etching technology for semiconductors. Tokyo: Springer International Publishing, 2015.
  3. Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing. New York: John Wiley & Sons Inc., 1994.
  4. Stoffels W.W., Stoffels E., Tachibana K. Polymerization of fluorocarbons in reactive ion etching plasmas, J. Vac. Sci. Tech. A. 1998. V. 16. P. 87–95.
  5. Standaert T.E.F.M., Hedlund C., Joseph E.A., Oehrlein G.S., Dalton T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide, J. Vac. Sci. Technol. A. 2004. V. 22. P. 53–60.
  6. Schaepkens M., Standaert T.E.F.M., Rueger N.R., Sebel P.G.M., Oehrlein G.S., Cook J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism, J. Vac. Sci. Technol. A. 1999. V. 17. P. 26–37.
  7. Plumb I.C., Ryan K.R. A model of the chemical processes occurring in CF4/O2 discharges used in plasma etching. Plasma Chem. Plasma Process. 1986. V. 6. P. 205–230.
  8. Kimura T., Noto M. Experimental study and global model of inductively coupled CF4/O2 discharges, J. Appl. Phys. 2006. V. 100. P. 063303 (1–9).
  9. Efremov A.M., Bashmakova D.E., Kwon K.-H. Features of plasma composition and fluorine atom kinetics in CHF3 + O2 gas mixture, Chem. Chem. Tech. 2023. V. 66. No. 1. P. 48–55.
  10. Vasenkov A.V., Li X., Oehlein G.S., M.J. Kushner. Properties of c-C4F8 inductively coupled plasmas. II. Plasma chemistry and reaction mechanism for modeling of Ar/c-C4F8/O2 discharges, J. Vac. Sci. Technol A. 2004. V. 22. P. 511–530.
  11. Lim N., Efremov A., Kwon K.-H. A comparison of CF4, CHF3 and C4F8 + Ar/O2 Inductively Coupled Plasmas for Dry Etching Applications. Plasma Chem. Plasma Process. 2021. V. 41. P. 1671–1689.
  12. Baek S.Y., Efremov A.M., Bobylev A.V., Choi G., Kwon K.-H. On relationships between plasma chemistry and surface reaction kinetics providing the etching of silicon in CF4, CHF3, and C4F8 gases mixed with oxygen, Materials. 2023. V. 16. P. 5043 (1–18).
  13. Marra D.C., Aydil E.S. Effect of H2 addition on surface reactions during CF4/H2 plasma etching of silicon and silicon dioxide films, J. Vac. Sci. Technol. A. 1997. V. 15. P. 2508–2517.
  14. Knizikevicius R. Real dimensional simulation of SiO2 etching in CF4 + H2 plasma, Appl. Surface Sci. 2004. V. 222. P. 275–285.
  15. Gorobchuk A. Numerical modeling of silicon processing technology in CF4/H2 plasma, Proceedings of 2015 International Siberian Conference on Control and Communications (SIBCON). Omsk, Russia, 2015. P. 1–4.
  16. Kim D.S., Kim J.B., Ahn D.W. et al. Atomic Layer Etching Applications in Nano-Semiconductor Device Fabrication, Electron. Mater. Lett. 2023. V. 19. P. 424–441.
  17. Kim Y., Kang H., Ha H., Kim C., Cho S., Chae H. Plasma atomic layer etching of molybdenum with surface fluorination, Applied Surface Science. 2023. V. 627. P. 157309.
  18. Kim S.Y., Park I.-S., Ahn J. Atomic layer etching of SiO2 using trifluoroiodomethane, Appl. Surf. Sci. 2022. V. 589. P. 153045.
  19. Kuzmenko V., Lebedinskij Y., Miakonkikh A. et al. Selective atomic layer etching of Al2O3, AlNx and HfO2 in conventional ICP etching tool, Vacuum. 2023. V. 207. P. 111585.
  20. Efremov A.M., Murin D.B., Kwon K.-H. Concerning the Effect of Type of Fluorocarbon Gas on the Output Characteristics of the Reactive-Ion Etching Process, Russian Microelectronics. 2020. V. 49. No. 3. P. 157–165.
  21. Efremov A., Lee J., Kwon K.-H. A comparative study of CF4, Cl2 and HBr + Ar inductively coupled plasmas for dry etching applications. Thin Solid Films. 2017. V. 629. P. 39–48.
  22. Efremov A., Lee B.J., Kwon K.-H. On relationships between gas-phase chemistry and reactive-ion etching kinetics for silicon-based thin films (SiC, SiO2 and SixNy) in multi-component fluorocarbon gas mixtures. Materials, 2021. V. 14. P. 1432 (1–27).
  23. Shun’ko E.V. Langmuir Probe in Theory and Practice. Boca Raton: Universal Publishers, 2008.
  24. Herman I.P. Optical diagnostics for thin film processing. San Diego: Academic Press, 1996.
  25. Lopaev D.V., Volynets A.V., Zyryanov S.M., Zotovich A.I., Rakhimov A.T. Actinometry of O, N and F atoms, J. Phys. D: Appl. Phys. 2017. V. 50. P. 075202 (1–17).
  26. Christophorou L.G., Olthoff J. K. Fundamental electron interactions with plasma processing gases. New York: Springer Science & Business Media, 2012.
  27. Raju G.G. Gaseous electronics. Tables, atoms and molecules. Boca Raton: CRC Press, 2017.
  28. Skoro N., Puac N., Lazovi S., Cvelbar U., Kokkoris G., Gogolides E. Characterization and global modelling of low-pressure hydrogen-based RF plasmas suitable for surface cleaning processes, J. Phys. D: Appl. Phys. 2013. V. 46. P. 475206 (1–13).
  29. Iordanova S., Koleva I., Paunska T. Hydrogen degree of dissociation in a low pressure tandem plasma source, Spectroscopy Letters. 2011. V. 44. P. 8–16.
  30. Kimura T., Kasugai H. Properties of inductively coupled rf Ar/H2 plasmas: Experiment and global model, J. Appl. Phys. 2010. V. 107. P. 083308 (1–9).
  31. Lavrov B.P., Pipa A.V. Account of the fine structure of hydrogen atom levels in the effective emission cross sections of Balmer lines excited by electron impact in gases and plasma, Optics and Spectroscopy. 2002. V. 92. No. 5. P. 647–657.
  32. Celik Y., Aramaki M., Luggenholscher D., Czarnetzk U. Determination of electron densities by diode-laser absorption spectroscopy in a pulsed ICP, Plasma Sources Sci. Technol. 2011. V. 20. P. 015022 (1–12).
  33. Cunge G., Ramos R., Vempaire D., Touzeau M., Neijbauer M., Sadeghi N. Gas temperature measurement in CF4, SF6, O2, Cl2, and HBr inductively coupled plasmas, J. Vac. Sci. Technol. A. 2009. V. 27. No. 3. P. 471–478.
  34. Efremov A., Son H.J., Choi G., Kwon K.-H. On Mechanisms Influencing Etching/Polymerization Balance in Multi-Component Fluorocarbon Gas Mixtures, Vacuum. 2022. V. 206. P. 111518 (1–10).
  35. Ho P., Johannes J.E., Buss R.J. Modeling the plasma chemistry of C2F6 and CHF3 etching of silicon dioxide, with comparisons to etch rate and diagnostic data, J. Vac. Sci. Technol. B. 2001. V. 19. P. 2344–2367.
  36. Proshina O.V., Rakhimova T.V., Zotovich A.I., Lopaev D.V., Zyryanov S.M., Rakhimov A.T. Multifold study of volume plasma chemistry in Ar/CF4 and Ar/CHF3 CCP discharges. Plasma Sources Sci. Technol. 2017. V. 26. P. 075005 (1–26).
  37. Gogolides D., Mary D., Rhallabi A., Turban G. RF Plasmas in Methane: Prediction of Plasma Properties and Neutral Radical Densities with Combined Gas-Phase Physics and Chemistry Model, Jpn. J. Appl. Phys. 1995. V. 34. P. 261–270.
  38. Herrebout D., Bogaerts A., Yan M. et al. One-dimensional fluid model for an rf methane plasma of interest in deposition of diamond-like carbon layers, J. Appl. Phys. 2001. V. 90. P. 570–579.
  39. Semenova O.A., Efremov A.M., Barinov S.M., Svettsov V.I. Kinetics and concentration of active particles in nonequilibrium low temperature methane plasma, High Temperature. 2014. V. 52. No. 3. P. 348–355.
  40. Adams N.G., Smith D. Dissociative attachment reactions of electrons with strong acid molecules, J. Chem. Phys. 1986. V. 86. P. 6728–6731.
  41. Abouaf R., Teillet-Billy D. Fine structure in the dissociative attachment cross sections for HBr and HF, Chem. Phys. Letters. 1980. V. 73. No. 1. P. 106–109.
  42. Xu Y., Gallup G.A., Fabrikant I.I. Dissociative electron attachment to vibrationally and rotationally excited H2 and HF molecules, Phys. Rev. A. 2000. V. 61. P. 052705 (1–7).
  43. Chantry P.J. A simple formula for diffusion calculations involving wall reflection and low density, J. Appl. Phys. 1987. V. 62. P. 1141–1148.

Supplementary files

Supplementary Files
Action
1. JATS XML
2. Fig. 1. Electrophysical parameters of the plasma in the CF4 + H2 + Ar mixture at p = 10 mtor and W = 1500 W: 1 - electron temperature; 2 - electron concentration; 3 - total concentration of positive ions; 4 - relative concentration of negative ions. Dotted lines represent the values obtained by plasma modeling

Download (188KB)
3. Fig. 2. Concentrations of neutral particles (a) and formation rates of fluorine atoms (b) in the plasma of the CF4 + H2 + Ar mixture. The dotted lines in Fig. a show which emit hydrogen-containing particles. The conditions of plasma excitation correspond to Fig. 1

Download (228KB)
4. Fig. 3. Radiation intensities (a) and atom concentrations (b) in the plasma of the CF4 + H2 + Ar mixture. In Fig. a: 1 - Ar 750.4 nm; 2 - F 703.4 nm; 3 - H 656.4 nm. In Fig. b: 2 - fluorine atoms; 3 - hydrogen atoms. The dotted lines in Fig. b represent the values obtained by plasma modeling

Download (189KB)

Copyright (c) 2024 Russian Academy of Sciences

This website uses cookies

You consent to our cookies if you continue to use our website.

About Cookies